TWI345553B - Silicon nitride film with stress control - Google Patents

Silicon nitride film with stress control Download PDF

Info

Publication number
TWI345553B
TWI345553B TW094122624A TW94122624A TWI345553B TW I345553 B TWI345553 B TW I345553B TW 094122624 A TW094122624 A TW 094122624A TW 94122624 A TW94122624 A TW 94122624A TW I345553 B TWI345553 B TW I345553B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
nitride
etch stop
tensile stress
Prior art date
Application number
TW094122624A
Other languages
English (en)
Other versions
TW200604093A (en
Inventor
R Suryanarayanan Iyer
Andrew M Lam
Yuji Maeda
Thomas Mele
Jacob W Smith
Sean M Seutter
Sanjeev Tandon
Randhir P Singh Thakur
Sunderraj Thirupapuliyur
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200604093A publication Critical patent/TW200604093A/zh
Application granted granted Critical
Publication of TWI345553B publication Critical patent/TWI345553B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Description

1345553 玖、發明說明: 【發明所屬之技術領域】 本發明之實施例係有關於形成一氮化物蝕刻终止層與 一多層氮化物兹刻停止堆疊層的方法,以在一半導體元件 中機械性地創造出一受控制的應力(拉伸或壓缩應力 (stress)) °
【先前技術】
現今積體電路包括形成於一半導體上的眾多電晶體、 電容、電阻或其他半導體元件。較小尺寸的元件係改善元 件性能與提升可靠度的關鍵。當元件尺寸缩減時,其技術 也相對更為複雜,因此需要各種方法以在每個元件世代替 換過程中維持預期的性能改進,而此主要與微電子元件之 主要半導體材料「矽(silicon,Si)」或「矽基材」有關。元 件性能的其一重要指標係載子遷移率(carrier mobility)。 在深次微米世代的元件中保有高載子遷移率是極為困難的 事情。一達成較佳載子遷移率的可能方法係稍微改變在半 導體製程中作為原料的該半導體材質。過去已知處於張力 (strain)下的矽能激發載子特性,且目前更對此特性作進一 步地研究。在該通道區域中的機械應力會明顯影響 MOS 元件的性能與可靠度(例如請參考文獻:Ito et al, “Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design,” NEC Corporation,IEDM 2000,San Francisco,CA)。並已知一氮 6 1345553 化物蝕刻终止層會造成該矽基材中的拉伸應力。因此,該 領域中的研究人員對於MOS元件製程中的高應力氮化物 蝕刻終止層有著高度的興趣。
可藉著形成一氮化物蝕刻终止層以在一 MOS元件之 通道中產生應力,而獲得拉伸應力’其中「產生應力」可 說明為在下層矽層中的應變(strain)。科學家已藉著在該通 道中引入應變來廣泛研究元件遷移率。其中一種技術係藉 著接觸氣化物钱刻终止層來作為一應力提供來源。為了透 過提高載子遷移率與速度來提升驅動電流的目的,故使用 較薄的氮化物層來達到更高更特定的應力。 【發明内容】 本發明之一態樣在於一包含一多層氮化物堆疊層的組 件’該多層氮化物堆疊層具有複數層氮化物蝕刻終止層, 且該些氣化物蝕刻終止層係相互形成於彼此頂面上每一 氮化物钱刻終止層係利用薄膜形成製程所形成。
本發明之另一態樣在於一種製造一多層氮化物堆疊層 的方法’該方法包括放置一基材至一單晶圓沉積室中並 在執行沉積步驟前一刻,先熱衝擊該基材。一第一氮化物 钱刻終止層係沉積於該基材上。一第二氮化物蝕刻终止層 係沉積於該第一氮化物姓刻终止層上。 本發明之另一態樣在於一種製造一氮化物蝕刻終止層 的方法’該方法包括放置一基材至一單晶圓沉積室中,並 7

Claims (1)

  1. Γ345553 色 拾、申請專利範圍: 1. 一種多層氮化物堆疊層,其包括: 數層氮化物蝕刻终止層,相互形成於彼此的頂面上, 該數層氮化物蝕刻終止層包括一第一氮化物蝕刻終止層與 一第二氮化物蝕刻终止層,每一氮化蝕刻終止層係使用一 薄膜形成製程所形成,其中形成該多層氮化物堆疊層包括 在沉積該第一氮化物蝕刻終止層之前一刻熱衝擊一基材。
    2.如申請專利範圍第1項所述之多層氮化物堆疊層, 其中該等氮化物蝕刻終止層之每一層的厚度實質相同於彼 此。 3.如申請專利範圍第1項所述之多層氮化物堆疊層, 其中該多層氮化物堆疊層形成於一基材上,且該多層氮化 物堆疊層引發一拉伸應力於該基材。
    4.如申請專利範圍第1項所述之多層氮化物堆疊層, 其中該多層氮化物堆疊層係一形成於一半導體元件上的共 形薄膜。 5 .如申請專利範圍第1項所述之多層氮化物堆疊層, 其中該多層氮化物堆疊層為一形成於一半導體元件上之共 形薄膜與一半導體元件之一間隙壁(spacer wall)的至少其 46 1345553
    中一者。 6. —種半導體元件,其包括: 一基材,其具有一源極區域與一汲極區域以及 於該源極區域與該汲極區域間的通道區域; 一閘極堆疊層,形成於該基材上;以及 一拉伸應力引發層,形成於該基材與該閘極 上,該拉伸應力引發層包含一氮化物堆疊層,該氮 疊層具有複數層相互形成於彼此的頂面上之氮化物 複數層氣化物層包括一第一氮化物層與一第二氮化 其中形成該拉伸應力引發層之步驟包括在沉積該第 物層之前一刻熱衝擊該基材。 7.如申請專利範圍第6項所述之半導體元件, 等氮化物層中之每一層的厚度實質相同於彼此。 8. 如申請專利範圍第6項所述之半導體元件, 氮化物堆疊層於該通道區域引發一拉伸應力。 9. 如申請專利範圍第8項所述之半導體元件, 拉伸應力約150-450百萬巴斯卡(mega Pascal)。 1 0 ·如申請專利範圍第6項所述之半導體元件 一形成 堆疊層 化物堆 層,該 物層, 一氮化 其中該 其中該 其中該 ,更包 47 1345553 括一間隙壁,位於該閘極堆疊層的每一側邊,其中每一間 隙壁係由氮化物所構成。 11.如申請專利範圍第6項所述之半導體元件,其中 每一間隙壁係由具有控制的低内應力之氮化物所構成。
    12.如申請專利範圍第6項所述之半導體元件,更包 括一間隙壁位於該閘極堆疊層的每一側邊,其中每一間隙 壁係由另一氮化物堆疊層所構成,該另一氮化物堆疊層具 有複數層相互形成於彼此的頂面上之氮化物層。 1 3 .如申請專利範圍第6項所述之半導體元件,其中 該氮化物堆疊層之總厚度範圍約介於200人至1 000A之間。
    14. 一種製造一半導體元件的方法,該方法包括: 提供一基材; 於該基材上形成一半導體元件; 形成一拉伸應力引發層於該基材上,該拉伸應力引發 層包含一氣化物堆疊層,該氮化物堆疊層具有複數層相互 形成於彼此的頂面上之氮化物層,該複數層氮化物層包括 一第一氮化物層與一第二氮化物層,其中形成該拉伸應力 引發層之步驟包括在沉積該第一氮化物層之前一刻熱衝擊 該基材。 48 1345553 15.如申請專利範圍第14項所述之方法,其中該半導 體元件具有一源極區域與一汲極區域以及一形成於該兩者 之間的閘極堆疊層,且其中該拉伸應力引發層係形成於該 源極區域、該汲極區域與該閘極堆疊層上。
    16.如申請專利範圍第15項所述之方法,其更包括: 在該基材上形成該拉伸應力引發層前,先形成一矽化 物層於該半導體元件上。 17.如申請專利範圍第15項所述之方法,其更包括: 於該源極區域、該汲極區域與該閘極堆疊層產生接觸。 18_如申請專利範圍第15項所述之方法,其更包括: 該拉伸應力引發層引導拉伸應力至該基材中的一通道
    19. 如_請專利範圍第15項所述之方法,其中該基材 係一含矽基材、一單晶矽基材、一矽鍺基材與一絕緣層上 覆矽基材中的其中一者。 20. 如申請專利範圍第15項所述之方法,其中該拉伸 應力引發層係將一範圍約介於150至450百萬巴斯卡之拉 49 1345553
    伸應力引入該基材中。 21.如申請專利範圍第15項所述之方法,其中 應力引發層係於一單晶圓沉積室中所形成。 22.如申請專利範圍第15項所述之方法,其中 材於一沉積室内經熱衝擊後,立即於該沉積室中形 伸應力引發層。 23. —種製造一半導體元件的方法,該方法包 放置一基材於一單晶圓沉積室中,並在執行沉 前一刻熱衝擊該基材;以及 沉積一第一氮化物蝕刻終止層於該基材上,該 化物蝕刻終止層會於該基材中引發一拉伸應力。 2 4.如令請專利範圍第2 3項所述之方法,其中 該基材之步驟包括使該基材之溫度維持在實質上低 要的沉積溫度,並且在執行該沉積步驟前一刻,以 的沉積溫度來熱衝擊該基材。 25.如申請專利範圍第23項所述之方法,其中 該基材之步驟包括:在該沉積步驟之前,使該基材 晶圓沉積室之一加熱器上方保持一段距離,並於該 該拉伸 在該基 成該拉 積步驟 第一氣 熱衝擊 於一想 該想要 熱衝擊 於該單 沉積步 50 1345553 驟前一刻,允許該基材接觸該加熱器,該加熱器係經設定, 以將該基材加熱至一想要的沉積溫度。 26. 如申請專利範圍第23項所述之方法,其中該基材 具有一源極區域與一汲極區域以及一形成於該兩者上的閘 極堆疊層,且其中該拉伸應力引發層係形成於該源極區 域、該汲極區域與該閘極堆疊層上。
    27. 如申請專利範圍第23項所述之方法,更包括: 在沉積該第一氮化物蝕刻終止層之前,先形成一矽化 物層於該源極區域、該汲極區域與該閘極堆疊層上。
    28.如申請專利範圍第23項所述之方法,更包括: 沉積一第二氮化物蝕刻終止層於該第一氮化物蝕刻終 止層上,該第二氮化物蝕刻終止層與該第一氮化物蝕刻終 止層係形成一氮化物堆疊層,且該氮化物堆疊層會於該基 材中引發該拉伸應力。 29.如申請專利範圍第28項所述之方法,其中沉積一 第二氮化物蝕刻終止層之步驟更包括: 將具有該第一氮化物蝕刻終止層沉積於其上的該基材 自該單晶圓沉積室中移除;以及 在沉積該第二氣化物钮刻終止層之前一刻,熱衝擊該 51 1345553
    基材。 30.如申請專利範圍第29項所述之方法,其中熱 該基材之步驟包括:使該基材之溫度維持在實質上低 想要沉積溫度,並在執行該沉積步驟前一刻,以該想 沉積溫度來熱衝擊該基材。 31.如申請專利範圍第29項所述之方法,其中熱 該基材之步驟包括:在該沉積步驟前,使該基材於該 圓沉積室之一加熱器上方保持一段距離,並於該沉積 前一刻,允許該基材接觸該加熱器,該加熱器係經設 以將該基材加熱至一想要的沉積溫度。 32.如申請專利範圍第29項所述之方法,其中該 具有一源極區域與一汲極區域以及一形成於該兩者上 極堆疊層,且其中該拉伸應力引發層係形成於該源 域、該汲極區域與該閘極堆疊層上。 33.如申請專利範圍第32項所述之方法,更包本 在沉積該第一氛化物姓刻終止層之.前,先形成一 物層於該源極區域、該汲極區域與該閘極堆疊層上。 衝擊 於一 要的 衝擊 單晶 步驟 定, 基材 的閘 極區 矽化 52
TW094122624A 2004-07-06 2005-07-04 Silicon nitride film with stress control TWI345553B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/885,969 US7488690B2 (en) 2004-07-06 2004-07-06 Silicon nitride film with stress control

Publications (2)

Publication Number Publication Date
TW200604093A TW200604093A (en) 2006-02-01
TWI345553B true TWI345553B (en) 2011-07-21

Family

ID=35124326

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094122624A TWI345553B (en) 2004-07-06 2005-07-04 Silicon nitride film with stress control

Country Status (6)

Country Link
US (1) US7488690B2 (zh)
JP (1) JP2008506262A (zh)
KR (2) KR100903891B1 (zh)
CN (1) CN100536161C (zh)
TW (1) TWI345553B (zh)
WO (1) WO2006014471A1 (zh)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4444027B2 (ja) * 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
DE102004047631B4 (de) * 2004-09-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur in Form eines Feldeffekttransistors mit einem verspannten Kanalgebiet und Halbleiterstruktur
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US7423283B1 (en) 2005-06-07 2008-09-09 Xilinx, Inc. Strain-silicon CMOS using etch-stop layer and method of manufacture
US7655991B1 (en) * 2005-09-08 2010-02-02 Xilinx, Inc. CMOS device with stressed sidewall spacers
US7456058B1 (en) * 2005-09-21 2008-11-25 Advanced Micro Devices, Inc. Stressed MOS device and methods for its fabrication
US7936006B1 (en) 2005-10-06 2011-05-03 Xilinx, Inc. Semiconductor device with backfilled isolation
US8729635B2 (en) * 2006-01-18 2014-05-20 Macronix International Co., Ltd. Semiconductor device having a high stress material layer
US9048180B2 (en) * 2006-05-16 2015-06-02 Texas Instruments Incorporated Low stress sacrificial cap layer
US7824968B2 (en) * 2006-07-17 2010-11-02 Chartered Semiconductor Manufacturing Ltd LDMOS using a combination of enhanced dielectric stress layer and dummy gates
US7790635B2 (en) * 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
US7968949B2 (en) * 2007-01-30 2011-06-28 International Business Machines Corporation Contact forming method and related semiconductor device
US7678698B2 (en) * 2007-05-04 2010-03-16 Freescale Semiconductor, Inc. Method of forming a semiconductor device with multiple tensile stressor layers
US20090014807A1 (en) * 2007-07-13 2009-01-15 Chartered Semiconductor Manufacturing, Ltd. Dual stress liners for integrated circuits
CN101399186B (zh) * 2007-09-24 2010-06-02 联华电子股份有限公司 氮化硅间隙填充层及其形成方法
DE102007052050B4 (de) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
US20090142891A1 (en) * 2007-11-30 2009-06-04 International Business Machines Corporation Maskless stress memorization technique for cmos devices
JP2009147199A (ja) * 2007-12-17 2009-07-02 Renesas Technology Corp 半導体装置および半導体装置の製造方法
JP5309619B2 (ja) 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
US7863201B2 (en) * 2008-03-24 2011-01-04 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having silicided source/drain contacts with low contact resistance
JP2010141281A (ja) * 2008-11-11 2010-06-24 Renesas Technology Corp 半導体装置およびその製造方法
JP5282978B2 (ja) * 2009-12-18 2013-09-04 日立電線株式会社 Iii族窒化物半導体基板
CN102213877B (zh) * 2010-04-06 2013-10-02 北京京东方光电科技有限公司 阵列基板、液晶面板及其制造方法
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
CN102299101B (zh) * 2010-06-25 2014-10-01 中芯国际集成电路制造(上海)有限公司 刻蚀终止层的制作方法
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9447287B2 (en) 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US8809152B2 (en) 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
KR101847629B1 (ko) 2012-02-10 2018-04-10 삼성전자주식회사 반도체 소자
JP2012142586A (ja) * 2012-02-20 2012-07-26 Gas-Phase Growth Ltd 膜形成材料および膜形成方法
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
CN106611701A (zh) * 2015-10-27 2017-05-03 中微半导体设备(上海)有限公司 一种半导体器件的制备方法
JP6385965B2 (ja) 2016-01-22 2018-09-05 株式会社東芝 高周波スイッチ
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10566446B2 (en) * 2018-05-30 2020-02-18 Globalfoundries Inc. Mitigation of hot carrier damage in field-effect transistors
US11222820B2 (en) 2018-06-27 2022-01-11 International Business Machines Corporation Self-aligned gate cap including an etch-stop layer
US11646242B2 (en) 2018-11-29 2023-05-09 Qorvo Us, Inc. Thermally enhanced semiconductor package with at least one heat extractor and process for making the same
US20200235066A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
KR20210129656A (ko) 2019-01-23 2021-10-28 코르보 유에스, 인크. Rf 반도체 디바이스 및 이를 형성하는 방법
US20210134699A1 (en) * 2019-11-01 2021-05-06 Qorvo Us, Inc. Rf devices with nanotube particles for enhanced performance and methods of forming the same
US11923238B2 (en) 2019-12-12 2024-03-05 Qorvo Us, Inc. Method of forming RF devices with enhanced performance including attaching a wafer to a support carrier by a bonding technique without any polymer adhesive

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4946710A (en) * 1987-06-02 1990-08-07 National Semiconductor Corporation Method for preparing PLZT, PZT and PLT sol-gels and fabricating ferroelectric thin films
JP3050193B2 (ja) * 1997-11-12 2000-06-12 日本電気株式会社 半導体装置及びその製造方法
US6323519B1 (en) * 1998-10-23 2001-11-27 Advanced Micro Devices, Inc. Ultrathin, nitrogen-containing MOSFET sidewall spacers using low-temperature semiconductor fabrication process
US6261891B1 (en) * 2000-01-28 2001-07-17 United Microelectronics Corp. Method of forming a passivation layer of a DRAM
US6515350B1 (en) * 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
US6559007B1 (en) * 2000-04-06 2003-05-06 Micron Technology, Inc. Method for forming flash memory device having a tunnel dielectric comprising nitrided oxide
JP2001319972A (ja) * 2000-05-12 2001-11-16 Sony Corp 半導体装置の製造方法
US6850250B2 (en) * 2000-08-29 2005-02-01 Sony Corporation Method and apparatus for a declarative representation of distortion correction for add-on graphics in broadcast video
US20020081811A1 (en) * 2000-12-27 2002-06-27 Pietro Foglietti Low-temperature deposition of silicon nitride/oxide stack
JP2003086708A (ja) 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
KR100385857B1 (ko) * 2000-12-27 2003-06-02 한국전자통신연구원 SiGe MODFET 소자 제조방법
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP4771607B2 (ja) * 2001-03-30 2011-09-14 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP2003060201A (ja) * 2001-08-13 2003-02-28 Hitachi Ltd 半導体装置の製造方法
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6660598B2 (en) * 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
KR100953332B1 (ko) * 2002-12-31 2010-04-20 동부일렉트로닉스 주식회사 반도체 장치의 제조 방법
JP4653949B2 (ja) * 2003-12-10 2011-03-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
KR100652791B1 (ko) * 2003-12-18 2006-11-30 주식회사 하이닉스반도체 반도체소자 제조 방법

Also Published As

Publication number Publication date
US20060009041A1 (en) 2006-01-12
JP2008506262A (ja) 2008-02-28
KR20080112370A (ko) 2008-12-24
KR101022898B1 (ko) 2011-03-16
WO2006014471A1 (en) 2006-02-09
US7488690B2 (en) 2009-02-10
CN1989622A (zh) 2007-06-27
TW200604093A (en) 2006-02-01
CN100536161C (zh) 2009-09-02
KR20070029829A (ko) 2007-03-14
KR100903891B1 (ko) 2009-06-19

Similar Documents

Publication Publication Date Title
TWI345553B (en) Silicon nitride film with stress control
TWI334195B (en) Semiconductor device and fabricating method thereof
TWI278060B (en) Nitrogen treatment to improve high-k gate dielectrics
US7488658B2 (en) Stressed semiconductor device structures having granular semiconductor material
TWI749171B (zh) 整合於經規劃基板上的射頻元件
US8461028B2 (en) Synthesizing graphene from metal-carbon solutions using ion implantation
TW556348B (en) Semiconductor device and method of fabricating the same
TWI267118B (en) Enhancing strained device performance by use of multi-narrow section layout
JP2011124601A (ja) 自己整合されたデュアル応力層を用いるcmos構造体及び方法
CN106098609B (zh) 基于非晶化与尺度效应的AlN埋绝缘层上晶圆级单轴应变Si的制作方法
TW200832702A (en) Strained transistor with optimized drive current and method of forming
TW200839875A (en) Multilayer silicon nitride deposition for a semiconductor device
JP2008519459A (ja) アルミノシリケート前駆体から形成された低いkの誘電体の層
TW200814197A (en) Compressive nitride film and method of manufacturing thereof
TW200534348A (en) Method and apparatus to increase strain effect in a transistor channel
US11587824B2 (en) Method for manufacturing semiconductor structure
TWI281951B (en) Methods for the control of flatness and electron mobility of diamond coated silicon and structures formed thereby
JP2010165787A (ja) 半導体装置
JP2010141263A (ja) 半導体装置
TW200919540A (en) SOI substrate and semiconductor device using the SOI substrate
US20240088207A1 (en) Capacitance structure
US20160181091A1 (en) Methods for Forming Ferroelectric Phases in Materials and Devices Utilizing the Same
WO2013037166A1 (zh) 石墨烯纳米带的制造方法、mosfet及其制造方法
JP5891597B2 (ja) 半導体基板または半導体装置の製造方法
TWI713961B (zh) 針對碳化鎢膜改善附著及缺陷之技術

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees