TWI299180B - - Google Patents

Download PDF

Info

Publication number
TWI299180B
TWI299180B TW095115681A TW95115681A TWI299180B TW I299180 B TWI299180 B TW I299180B TW 095115681 A TW095115681 A TW 095115681A TW 95115681 A TW95115681 A TW 95115681A TW I299180 B TWI299180 B TW I299180B
Authority
TW
Taiwan
Prior art keywords
insulating film
film
polymer
layer
oxide film
Prior art date
Application number
TW095115681A
Other languages
English (en)
Other versions
TW200707538A (en
Inventor
Osamu Arisumi
Masahiro Kiyotoshi
Original Assignee
Toshiba Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Kk filed Critical Toshiba Kk
Publication of TW200707538A publication Critical patent/TW200707538A/zh
Application granted granted Critical
Publication of TWI299180B publication Critical patent/TWI299180B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

1299180 九、發明說明: 【發明所屬之技術領域】 本發明係有關於一種半導體裝置及其製造方法。 【先前技術】 伴隨半導體裝置之微細化,以絕緣膜切實埋入元件隔離 (#isolati〇n#)溝(#trench#)變得困難起來。針對該問題,提出 採用過虱化石夕氣烧聚合物(#perhydro silazane polymer#)(以 下稱聚矽氨烷(#p〇lysilazane#))之方法(例如參照特開 2003-258082號公報)。在塗敷了聚矽氨烷溶液之後,藉由進 行烘烤處理、固化處理以及緻密處理等,獲得氧化矽膜 (Si〇2膜)。 再者’還可考慮使用由 CVD(chemical vapor deposition) 法形成之氧化矽膜(以下為方便起見,稱CVD氧化矽膜)與從 聚石夕氨烷獲得之氧化矽膜(以下為方便起見,稱聚矽氨烷氧 化石夕膜)之積層(#stack#)膜。但是,在這種情況下,因為聚 矽氨烷膜未被充分轉化(#〇011¥61^#)為si〇2膜,因此會產生以 下一些問題。 聚矽氨烷氧化矽膜與CVD氧化矽膜相比,蝕刻速度快。 因此,在蝕刻CVD氧化矽膜與聚矽氨烷氧化矽膜之積層膜 之際,聚石夕氨烧氧化;5夕膜之钱刻進行得快,不能獲得期望 之蝕刻形狀。如此,由於蝕刻之控制性不好,因而便會產 生取決於圖形而在餘刻量中產生偏差之問題。 另外,在聚矽氨烷氧化矽膜上,固化處理和緻密處理之 高溫熱處理時之膜收縮大,所以會產生很大之應力。因此, H0674.doc 1299180 膜易剝落’成為良率降低之重大原因。 另外’聚石夕氨烷溶液中所含之碳殘留於膜内,給予半導 體裝置之特性不良影響。具體言之,碳在元件隔離溝之側 面或底面堆積而形成空間電荷(#space charge #),產生電曰曰 體之場反轉電壓之偏移量(AV^)變動大的問題。 如此,在CVD氧化矽膜與聚矽氨烷氧化矽膜之積層膜 上,由於聚矽氨烷膜未被充分轉化為Si〇2膜,所以存在蝕 刻之控性差之問題,或產生大應力之問題。因此,很難形 成特性或可靠性佳之絕緣膜。 乂 【發明内容】 關於本發明之第1觀點之半導體裝置之製造方法,其具有 在基底(々underlying#)區域上形成包含氧化矽作為主要成分 之第1絕緣膜之步驟、使水附著於前述第i絕緣膜上之步 驟、在前述水附著之第i絕緣膜上形成包含含有矽之聚合^ (々polymer#)的聚合物溶液層之步驟及從前述聚合物溶二層 生成包含氧化矽作為主要成分之第2絕緣膜之步冑,生成前 述第2絕緣膜之步驟包含藉由前述聚合物與附著於前述第^ 絕緣膜上的水之反應,生成氧化矽之步驟。 關於本發明之第2觀點之半導體装置,其具 形成於前述基底區域上’包含氧切作為主要成分 1«;及^於前述^絕緣膜上’包含氧切作為 成分的第2絕緣膜;前述第2絕緣膜在俞、+、故 啄犋在則述第1絕緣膜附近且 有含氧化矽之粒狀部。 ^ 【實施方式】 110674.doc 1299180 以下,參照圖式來說明本發明之實施形態。本實施形態 中,將以電可抹哈之非揮發性半導體記憶裝置(#electrically erasable nonvolatile semiconduct〇r mem〇ry device#)的 NAND型快閃記憶體為例加以說明。 圖1係模式地顯示本實施形態相關之NAND型快閃記憶體 之概略構成的平面圖(但位元線未圖示圖2係圖1所示之構 成的等效電路圖。 如圖1和圖2所示,各NAND胞單元在選擇電晶體(#se丨ect transistor#)Sl及S2間形成設置串聯連接之記憶胞mi〜M8之 結構。選擇閘線(#select gate line#)SGl及SG2被連接在選擇 電晶體S1及S2上,在記憶胞Ml〜M8上連接控制閘線(字元 線)CG1〜CG8。另外,位元線BL1及BL2被連接在各選擇電 晶體S1上。此外,此處雖然顯示了 8個記憶胞之情形,但記 憶胞數量並不限定於8個。 圖3係沿著圖1之A-A’之斷面圖(字元線方向之斷面圖),圖 4係沿圖1之B-B’之斷面圖(位元線方向之斷面圖)。 如圖3及圖4所示,在矽基板(半導體基板)10上形成選擇 電晶體S1及S2以及記憶胞Ml〜M8。 各冗憶胞Μ1〜]VI8具有在碎基板1 0上形成之通道絕緣膜 (第1閘極絕緣膜)11、以多晶石夕膜12a及12b形成之浮動閘電 極膜(第1閘極電極膜)12、以〇NO(oxide/nitride/oxide)膜形 成之電極間(#inter electrode#)絕緣膜(第2閘極絕緣膜)22和 控制閘電極膜(第2閘極電極膜)23。各選擇電晶體SG]^sG2 具有在矽基板1 〇上形成之閘極絕緣膜11和以多晶石夕膜 n0674.doc 1299180 12a、12b及控制閘電極膜23形成之閘極電極。在選擇電晶 體SG1及SG2以及記憶胞Ml〜M8之側壁上形成側壁間隔物 (#sidewall spacer#)24。此外,在位元線方向鄰接之記憶胞 間形成源極/汲極擴散層(#diffUsion layer#)25。 在字元線方向鄰接之NAND胞單元間形成包含氧化;g夕作 為主要成分之元件隔離絕緣部。該元件隔離絕緣部以由 CVD法形成之氧化矽膜(CVD氧化矽膜:第1絕緣膜)18和從 過氫化矽氨烷聚合物(矽氨烷)獲得之氧化矽膜(聚矽氨燒氧 化矽膜:第2絕緣膜)19b形成。如圖5所示,在CVD氧化石夕 膜18和聚矽氨烷氧化矽膜19b的境界3〇附近,聚矽氨烷氧化 石夕膜19b具有由氧化石夕形成之粒狀部21。該粒狀部21含有 石厌’而且粒狀部21之碳濃度(#concentration#)比聚石夕氨燒氧 化矽膜19b之粒狀部21以外之部分的碳濃度高。換言之,在 聚矽氨烧氧化矽膜19b上,CVD氧化矽膜18與聚矽氨烷氧化 矽膜19 b之境界附近的部分之碳濃度比其他部分之碳濃度 高。 選擇電晶體及記憶胞等以層間絕緣膜(#interlayer insulating film#)26覆蓋。此外,在矽基板1〇的表面區域形 成高濃度擴散層27,位元線29經由接觸插塞28連接在高濃 度擴散層27上。 以下,參照圖6〜圖18說明上述NAND型快閃記憶體之製 造方法。再者’圖6〜圖18與沿圖1之A-A,之斷面對應。 首先,如圖6所示,在矽基板(半導體基板)1()上,作為通 道絕緣膜11 ’形成厚度1〇 nm左右之氧化矽膜(si〇2膜)。接 110674.doc -10- 1299180 著,在通道絕緣膜11上,作為浮動閘電極膜12,形成總厚 度150 nm左右之多晶石夕膜12a及12b。再者,在多晶石夕膜i2b 上形成厚度100 nm左右之墊用之氮化石夕膜(Si3N4)14。其 後,如圖7所示,在氮化矽膜14上形成掩膜15。 其次,如圖8所示,將掩膜15圖案化後,使用被圖案化之 掩膜15作為遮罩’藉由RIE(reactive ion etching )法,將氮 化矽膜14、浮動閘電極膜12、通道絕緣膜丨丨及矽基板1〇圖 案化。藉此’形成深度約450 nm之STI(shallow trench isolation )用元件隔離溝16。 再者,雖然未圖示,但在圖8之步驟之後,元件隔離溝16 之表面藉由通常之熱氧化法進行氧化,從而形成厚度3 nm 左右之熱氧化膜亦可。藉由該熱氧化膜,可以保護通道絕 緣膜11之邊緣之露出部位。此外,藉由自由基氧化法在元 件隔離溝16之表面形成氧化膜亦可。藉由使用自由基氧 化’能夠形成不取決於矽之面方位(#plane 〇rientati〇n#)之 均勻氧化膜。又,也可以先稍微氧化氮化矽膜14之側面。 其次’如圖9所示,在以圖8之步驟獲得之基底 (#imderlying#)區域上,藉由 HDP(high density plasma)-CVD(chemical vapor deposition)法沉積 CVD氧化矽 膜(第1絕緣膜)。此時,元件隔離溝16不被Cvd氧化矽膜18 完全埋入,CVD氧化矽膜18具有基於元件隔離溝16之凹部 1 7。使從矽基板丨〇與通道絕緣膜丨丨之界面(#interface#)至凹 部17之底面的高度達到例如8〇 左右以上。 其次’如圖10所示,使水附著在CVD氧化矽膜18之表面, 110674.doc 11 1299180 形成水層20。例如,如下形成水層2(^首先將具有圖9所示 結構之基板放入冷藏庫,維持冷卻狀態。例如,設冷卻溫 度為-5°C,冷卻時間為15分鐘以i。爾後,從冷_取出 被冷卻之基板,從冷卻狀態解除。例如,在從冷藏庫取出 基板之後,在控制在溫度2〇。(:、濕度6〇%之承載盒等内保持 10分鐘左右。藉此,CVD氧化矽膜18之表面結露,形成水 層20。另外,還可以藉由使用低溫泵(#cry〇pump#)或液態 氮等之冷卻板,來冷卻基板。 其次,如圖11所示,在水附著之CVD氧化矽膜18上,作 為包含已含有石夕之聚合物之聚合物溶㈣,形成過氯化石夕 氨烷聚合物溶液層(聚矽氨烷溶液層)19。具體言之,在CVD 氧化矽膜18上將聚矽氨烷溶液以在平坦表面上之厚度達 600 nm之方式藉由旋塗進行塗敷(#c〇at#)。 其次,如圖12所示,ϋ由烘烤處理,使聚石夕氨烧溶液層 19中所含之溶劑揮發,形成過氫化矽氨烷聚合物膜(聚矽氨 烧膜)19a。供烤處理之條件例如在8〇〜15〇它下設定3分鐘。 CVD氧化矽膜18之凹部17被聚矽氨烷膜19&完全埋入。 再者,將形成有聚矽氨烷膜19a之基板例如在室溫下放置 η、時以上。其結果,在CVD氧化石夕膜18和聚石夕氨院膜w 之邊界30附近,藉由聚矽氨烷與水之反應生成氧化矽。即, 因為聚石夕氨烷與水極易反應,所以基於聚石夕氨烷之自架橋 性(#Self-bridging#),聚矽氨烷轉化(#c〇nvert#)為氧化= (Si〇2)。聚矽氨烷轉化為氧化矽從烘烤處理前便已經開始, 但藉由在室溫下維持基板,聚矽氨烷轉化為氧化矽被進— H0674.doc -12- 1299180 步促進。再者,在聚矽氨烷膜19a中,雖然部分溶劑之二丁 驗殘留,但因為二丁醚係疏水性,所以不與水相混。 當上述之氧化矽被生成時,在CVD氧化矽膜“和聚矽氨 燒膜19a之邊界30附近形成含氡化矽之粒狀部。在該粒狀部 中捕獲到在聚砍氨烧溶液層19之溶劑中所含之碳。即,一 邊捕獲聚砍氨烧溶液層19中所含之碳,一邊生成粒狀部。 其結果,在CVD氧化矽膜18與聚矽氨烷膜19a之邊界附近之 φ 區域,碳濃度比其他區域高。以下,就上述現象加以說明。 如圖19之SEM照片所示,當已在矽基板上塗敷了聚矽氨 烷溶液時,水一旦存在於矽基板表面,便會在矽基板表面 形成凝膠狀之異物(#f〇reign matter#)。 圖20A、圖206及圖20(:係顯示對圖19所示之矽晶圓表面 區域進行SEM-EDX(掃描電子顯微鏡_能散型χ射線螢光分 • 析法)分析結果的圖形。圖20Α係在異物位置(位置Α)之分析 t 結果、圖2〇B係在異物附近(位置B)之分析結果、圖2〇c係在 參 異物遠處(background)之分析結果。在圖2〇A之異物位置, 與圖20C相比,碳(C)之峰值變得極大。另一方面,在圖2〇B 之異物附近,與圖20C相比,碳(C)之峰值變得很小。這顯 示一邊捕獲異物附近之碳,一邊形成異物。可認為基於該 異物形成含氧化矽之粒狀部。 如從以上情況可知,如果預先使水附著於CVD氧化矽膜 之表面,則在藉由聚矽氨烷與水之反應而生成粒狀部之 際,便可以捕獲到聚矽氨烷溶液中所含之碳。即,可以在 CVD氧化矽膜與聚矽氨烷膜之邊界附近收集碳。所以,可 110674.doc -13- 1299180 以防止因堆積於元件隔離溝之側面或底面之碳而形成空間 電荷、電晶體之場(field)反轉電壓的偏移量(Δν^)變動大 之問題。 圖21是就在導電性矽基板上形成絕緣膜、在絕緣膜上形 成多晶矽電極之各種MIS電容器的C-V特性評價結果之圖 形。試料A(比較例)及試料B(本實施形態)是作為絕緣膜, 而採用了 HDP-CVD氧化矽膜(膜厚170 nm)及聚矽氨烷氧化 石夕膜(膜厚400 nm)之積層(#stack#)膜的情形。但是,試料量 A是未對HDP-CVD氧化矽膜進行結露處理之情形,試料量B 是對HDP-CVD氧化矽膜進行了結露處理之情形。試料C是 作為絕緣膜採用了厚度570 nm之HDP-CVD氧化矽膜之單層 膜之情形。如圖21所示,得知本實施形態之試料(試料B)與 比較例之試料(試料A)相比,平帶電壓之偏移量及 △ Vfb之偏差被大幅改善,接近HDP-CVD氧化矽膜之單層膜 (試料C)之特性。 又,在矽基板之表面形成HDP-CVD氧化矽膜、在 HDP-CVD氧化矽膜上進行結露處理後,製成了形成膜厚 600 nm之聚矽氨烷氧化矽膜的試料。就該試料,進行了 SIMS(secondary ion mass spectroscopy)分析。其結果,確認 了在HDP_CVD氡化矽膜與聚矽氨烷氧化矽膜之界面 (^interface#)以往所未發現之碳峰值。 如從以上情況可知,藉由先進行對CVD氧化矽膜表面的 水之附著處理(結露處理),可在CVD氧化矽膜與聚矽氨烷氧 化矽膜之邊境有效地收集碳。 il0674.doc -14- 1299180 在圖12之步驟之後,如圖13所示,進行固化處理。藉由 該固化處理,聚矽氨烷膜19a變化為聚矽氨烷氧化矽膜 19b。具體而s,在水蒸汽氛圍下進行高溫熱處理。藉由該 熱處理產生如下反應: {SiHiNHy + 2nO nsi〇2 + nNH3 #,聚梦氨燒與藉由纟蒸汽(Η2〇 + 〇2)之分解產生的氧⑼ 應生成8丨〇2(氧化石夕··二氧化石夕(#silica#)和ΝΗ3(氨))。 • 再者,兀件區域之表面被氮化矽膜14覆蓋,所以不被氧化。 在上述固化處理中,聚矽氨烷轉化為氧化矽除了從聚矽 氨烷膜19a之表面側進行外,因為預先便進行著對cvd氧化 矽膜18表面之結露處理,所以,也從CVD氧化矽膜“與聚 矽虱烷膜19a之邊界側進行。因此,能使聚矽氨烷充分轉化 為氧化矽。例如,藉由將溫度85〇〇Ci水蒸汽氛圍中之燃燒 / 氧化(#pyr〇genic oxidation#)進行30分鐘左右,便能使聚矽 氨烷充分轉化為氧化矽。 言 # 圖22是顯示藉由固化處理之膜收縮率量測結果之圖示。 以過去技術之方法(不進行結露處理),藉由固化處理的膜收 縮率為10%左右,與此對應,以本實施形態之方法(有結露 處理),藉由固化處理之膜收縮率為5〜6%左右。在不進行結 露處理之以往方法,因存在於聚矽氨烷膜中之聚矽氨烷之 低分子成分在固化處理時容易揮發,所以膜收縮率變大。 在本貫施形悲之方法,因為藉由水之存在而在固化處理前 聚石夕氨烧之架橋反應便進行,所以認為在固化處理時聚石夕 氨烷之低分子成分變成難以脫離之狀態。如此,因為藉由 H0674.doc -15· I299180 可以緩和固化處 固化處理之膜收縮率小,所以與以往相比 理時之應力,並可以防止膜剝離等。 又,本實施形態中,如前所述,藉由水之存在而形成含 氧化石夕之粒狀部。所以,藉由該粒狀部可以吸收膜收縮時 之應力。因此,在該點也能緩和固化處理時之應力。再者, 在已進行固化處理後,也可以藉由粒狀部緩和内部應力, 可以防止膜剝離等。
^圖23是就已進行固化處理之試料,藉由盒形圖顯示已由 氫氟酸(HF)系之餘刻液進行濕式飯刻時之元件隔離溝附近 之蝕刻量偏差之圖形。與以往技術之方法(不進行結露處理) 相比,可知藉由本實施形態之方法(有結露處理),大幅降低 了蝕刻量之偏差。藉由本實施形態之方法,在固化處理之 際’氧化從聚矽氨烷膜之上側及下側雙方進行。因此,認 為聚矽氨烷同樣轉化為氧化矽,並降低了蝕刻量之偏差。 在圖13之步驟之後,如圖14所示,針對已進行固化處理 之聚矽氨烷氧化矽膜19b,進行緻密處理。例如,在氧化性 氣體氛圍或惰性氣體氛圍中進行85(rc左右之熱處理,藉此 殘留於聚矽氨烷氧化矽膜19b之NH3和Η2〇被釋放,可獲得 密度更向之氧化矽膜。此外,CVD氧化矽膜18也被同時緻 在時’因為元件區域之表面被氮化石夕膜丨4覆蓋,所 以不被氧化。再者,緻密處理也可以使用普通爐管(#furnace 進行也可以藉由RTA(rapid thermal annealing)進行。在 使用RTA時’例如在9〇(rc下進行2〇秒左右之熱處理。 再者’在上述之固化處理或緻密處理中,一般不可以以
n〇674.dOC -16- 1299180 超過85G°C左右之溫度進行長時間之熱處理。這是因為若以 此條件進行熱處理,便會在通道氧化膜之端部產生烏喙 (#bird’sbeak#)。即,對將聚矽氨烷轉化為氧化矽時之熱處 理溫度有限制。所以,在以往之方法,不能使聚石夕農燒膜 充分變化為氧化矽膜,與CVD氧化矽膜18相比,聚矽氨烷 氧化矽膜19b之蝕刻速率變大。在本實施形態之方法,氧化 從聚矽氨烷膜之上側及下側之雙方進行,所以可以將聚矽 氨烷膜充分轉化為氧化矽膜,並可以使聚矽氨烷氧化矽膜 19b之蝕刻速率接近CVD氧化矽膜18之蝕刻速率。 此外,在將聚矽氨烷膜轉化為氧化矽膜時,通常取決於 元件隔離溝之溝寬度而氧化劑之侵入深度改變。在從前之 方法,因為氧化僅從聚矽氨烷膜之上側進行,所以元件隔 離溝内之絕緣膜之膜質具有圖案幅度依賴性。在本實施形 I之方法,因為氧化從聚石夕氨烧膜之上侧及下側雙方進 行,所以不取決於圖案幅度,而可在元件隔離溝内形成均 勻之絕緣膜。 圖14之步驟之後,如圖15所示,藉由CMp(chemical mechanical polishing )使CVD氧化矽膜18及聚矽氨烷氧化 矽膜19b平坦化。在CMP下,氮化矽膜14起作用作為停止 層。藉由使用以矽膠為基礎之研磨劑進行CMP,可以使氮 化石夕膜14之研磨速率對於CVD氧化矽膜丨8及聚矽氨烷氧化 矽膜19b之研磨速率之比成為5〇以上。 其次,如圖16所示,藉由氳氟酸(HF)系之蝕刻液,進行 CVD氧化矽膜18及聚矽氨烷氧化矽膜19b之蝕刻。 I10674.doc •17- 1299180 如已敍述,在從前之方法,不能使聚矽氨烷膜充分轉化 為氧化矽膜,所以與CVD氧化矽膜18相比,聚矽氨烷氧化 石夕媒m之餘刻速率變大。因此,存在不能進行均勻之餘刻 處理、CVD氧化石夕膜18沿元件隔離溝之侧壁殘留之問題, 或在蝕刻深度上產生偏差之問題。 在本實施形態之方法,可以使聚矽氨烷膜充分轉化為氧 化矽膜,並可以使聚矽氨烷氧化矽膜19b之蝕刻速率接近 • CVD氧化矽膜18之蝕刻速率。例如,可以將蝕刻速率比設 定於1.5以下。因此,可以進行均勻之蝕刻處理,並可以在 兀件隔離溝内以期望之均勻高度留下CVD氧化矽膜18及聚 矽氨烷氧化矽膜19b 〇 其次,如圖17所示,使用熱磷酸作為蝕刻液,去除氮化 矽膜14〇 • 其次,如圖18所示,在浮動閘電極膜12之表面以及在以 • CVD氧化矽膜18及聚矽氨烷氧化矽膜19b形成之元件隔離 • 溝之表面,形成以〇N〇膜形成之電極間絕緣膜22。接著, 在電極間絕緣膜22上形成控制閘電極膜23。再者,在與元 件隔離溝之延伸方向垂直之方向上,將通道絕緣膜丨丨、浮 動閘電極膜12、電極間絕緣膜22及控制閘電極膜以圖案 化。藉此,可獲得以通道絕緣膜丨丨、浮動閘電極膜12、電 極間絕緣膜22及控制閘電極膜23形成之閘極結構。再者, 在形成源極、汲極擴散層(未圖示)之後,形成層間絕緣膜26。 在非揮發性記憶胞方面,基於通道絕緣膜丨丨之電容c丨與 基於電極間絕緣膜22之電容C2之電容比(耦合比)很重要。 110674.doc -18 - 1299180 如圖18所示,因為電極間絕緣膜22形成於浮動閘電極膜12 之上面及側面,所以為了提高電容C2之精度,重要的是正 確控制元件隔離絕緣部上面之高度。如已敍述,因為藉由 使用本實施形態之方法,可以正確控制元件隔離絕緣部上 面之高度,所以可以降低電容比之偏差。 此後之步驟雖未圖示,但要形成接點或佈線等,形成 NAND型快閃記憶體。
如以上所述,藉由本實施形態,使水附著於CVD氧化矽 膜(第1絕緣膜)上,並在水附著之CVD氧化石夕膜上形成聚矽 氨烷溶液層。因此,在生成聚矽氨烷氧化矽膜(第2絕緣膜) 之際’氧化;δ夕不僅從上層側生成,還從下層側生成。其結 果’可以使聚矽氨烧充分轉化為氧化矽,並可以形成偏差 少之均質聚矽氨烷氧化矽膜。因此,由於可以使聚矽氨烷 氧化矽膜之蝕刻速率接近CVD氧化矽膜之蝕刻速率,所以 在70件隔離溝内形成元件隔離部時,可正確控制元件隔離 部上面之高度。 此外’藉由本實施形態,藉由附著於CVD氧化矽膜上之 水與包含於聚石夕氨烧溶液層之聚矽氨烷之反應,在進行固 化處理等之南溫熱處理前,便已經在cvd氧化矽膜與聚矽 氨燒膜之邊界附近形成氧化石夕。因此,可以降低固化處理 ^门’里”、、處理時之膜收縮,緩和聚矽氨烷膜轉化為氧化 夕膜寺之應力。又’本實施形態中,藉由附著在氧化 * '上之火的存在,含氧化矽之粒狀部形成於CVD氧化矽 '之附近因為藉由該粒狀部可以吸收膜收縮時之應力, 110674.doc -19· 1299180 所以便能緩和固化處理等高溫熱處理時之應力。再者,即 使在進行固化處理及緻密處理之後,也能藉由粒狀部緩和 CVD氧化矽膜與聚矽氨烷氧化矽膜之邊界附近之内部應 力。所以’藉由本實施形態,可防止起因於應力之膜剝落 等。 此外’藉由本實施形態,可在含氧化矽之粒狀部捕獲到 聚石夕氨燒溶液層所含之碳。因此,可以在CVD氧化矽膜與 聚石夕氨燒氧化矽膜之邊界附近收集碳。所以,可以防止在 CVD氧化石夕膜與基底區域之邊界碳堆積之問題,並可以抑 制碳帶給半導體裝置之惡劣影響。 再者,上述之實施形態中,向CVD氧化矽膜上之聚石夕氨 烷洛液層之形成步驟是一次,但也可以將聚矽氨烷溶液層 之形成步驟進行複數次。以下,參照圖24及圖25,說明複 數次進行聚矽氨烷溶液層之形成步驟時之方法。 首先,如圖24所示,在圖12之烘烤處理或放置處理之後, 蝕刻去除聚矽氨烷膜19a之上部。其後,與上述之實施形態 同樣,使水附著於CVD氧化矽膜18及聚矽氨烷膜19a之積層 膜之表面,再在水附著之積層膜上形成聚矽氨烷溶液層4卜 其次,如圖25所示,與上述之實施形態同樣,針對聚矽 虱烷溶液層41進行烘烤處理使溶劑揮發,形成第2層之聚矽 氨烷膜(未圖示)。其後,藉由對第}層之聚矽氨烷膜i9a及第 2層之聚矽氨烷膜進行固化處理及緻密處理,可獲得第^層 之聚矽氨烷氧化矽膜19b及第2層之聚矽氨烷氧化矽膜(未 圖示)。即使在此情況下,藉由與上述實施形態同樣之原 0674.doc -20- 1299180 理,亦可在第2層之聚矽氨烷氧化矽膜與CVD氧化矽膜“及 第1層之聚矽氨烷氧化矽膜19b之積層膜之邊界附近形成粒 狀部。在該粒狀部包含已捕獲包含於第丨層之聚矽氨烷膜 19a中之碳之氧化矽。即,在第2層之聚矽氨烷氧化矽膜與 積層膜之邊界附近,可以收集第〗層之聚矽氨烷膜l9a所含 有之碳。 其後,回蝕第2層之聚矽氨烷氧化矽膜、第i層之聚矽氨 烷氧化矽膜19b及CVD氧化矽膜18,藉此可獲得如圖25所示 之結構。即’藉由該回蝕處理,第2層之聚矽氨烷氧化矽膜 被完全去除,CVD氧化石夕膜18及帛1層之聚石夕氨烧氧化石夕膜 19b之積層膜之上部分被去除。其結果,在第2層之聚矽氨 院氧化㈣與積層冑之邊界附近形成的捕獲碳之氧化石夕也 同時被去除。 如此,藉由上述之方法,便可以在第2層之聚矽氨烷氧化 石夕膜與積層膜之邊界附近收集包含於第i層之聚石夕氨烧膜 中之妷。所以,可以使第丨層之聚矽氨烷膜〗9a所含有之 石反遂離矽基板之表面,並可以抑制碳帶給半導體裝置之惡 劣衫響。再者,藉由去除已捕獲到碳之氧化矽,可進一步 抑制對半導體裝置之惡劣影響。 ^述之方法中,雖然在使第1層之聚矽氨烷膜19a變化為 ^氨院氧切膜之前進行水之附著處理,但也可以在使 第1層之聚石夕氧院膜19a變化為聚石夕氨烧氧切膜隱(參照 Z及圖M)之後進行水之附著處理。水之附著處理可以在 ’’矽氨烷臈19a之固化處理之後進行,亦可以在緻密處理 110674.doc l299l8〇 後進行。在此情況下,藉由與上述方法相同之原理,亦可 U在第2層之聚矽氨烷氧化矽膜和CVD氧化矽膜“及第1層 聚矽氨烷氧化矽膜19b之積層膜之邊界附近收集第丨層之 聚矽氨烷氧化矽膜内所含之碳。所以,與上述之方法相同, 可抑制碳帶給半導體裝置之惡劣影響。
-再者,上述之實施形態中,對在元件隔離溝内形成cVD 氧化矽膜和聚矽氨烷氧化矽膜之積層膜之情況進行了說 明’但對元件隔離溝以外之區域,上述之實施形態之方法 也可適用。例如,當在有凹凸之基底區域上形成層間絕緣 \寺也可以藉由使用上述之方法形成層間絕緣膜,形成 充刀轉化為氧化石夕之等質的層間絕緣膜。 此外,上述之實施形態中,雖然使水直接附著於cvd氧 化石夕膜18之表面,但是也可以在咖氧切膜18上形成薄 薄一層例如BPSG膜之吸水性高之氧化膜,並使水附著在形 成有該薄氧化膜之CVD氧化矽膜18上。 此外,上述之實施形態中,作為切之聚合物而以聚石夕 氨烧為例進行了說明’但若是藉由熱處理轉化為氧化石夕, 而且易與水反應生成氧切之聚合物,便可以剌與上述 實施形態相同之方法。 額外的優勢與修改將容易存在於本工藝之技術中。所 以’於更寬泛之區域中,本發明不受此處顯示與描述之呈 體細節與典型體現限制。因此,如不遠離藉由所附請求項 以及與請求項等同項目所定義之總體發明概念之精神或範 圍,可作各種修改。 110674.doc -22- 1299180 【圖式簡單說明】 圖1係模式地表示本發明實施形態之半導體裝置之構成 之平面圖。 圖2係模式地表示本發明實施形態之半導體裝置之等效 回路之爾。 圖3係模式地表示本發明實施形態之半導體裝置之構成 之斷面圖。 圖4係模式地表示本發明實施形態之半導體裝置之構成 之斷面圖。 圖5係表示本發明實施形態之半導體裝置之構成之局部 之斷面圖。 圖6至圖18係模式地表示本發明實施形態之半導體裝置 之製造方法之斷面圖。 圖19係形成於矽晶圓上之異物之電子顯微鏡照片。 圖20A、圖20B及圖20C係顯示對於矽晶圓表面區域 SEM-EDX分析結果之圖。 圖21係顯示具有各種絕緣膜之mis電容器之c_v特随 評價結果之圖。 之 圖22係顯示固化處理引發的膜收縮率測量結果之圖。 圖23係顯示對於元件隔離溝附近之蝕刻量偏差之1 · 結果之圖。 °貝 圖24及圖25係模式式地顯示本發明之實施形態之 〜 干導體 裝置變更例之製造方法之斷面圖。 【主要元件符號說明】 110674.doc -23- 1299180
A 試料 A, A斷面圖 B 試料 B, B斷面圖 SGI 選擇閘電路 SG2 選擇閘電路 BL1 位元線 BL2 位元線 CGI 控制閘電路(字元線) CG2 控制閘電路(字元線) CG3 控制閘電路(字元線) CG4 控制閘電路(字元線) CG5 控制閘電路(字元線) CG6 控制閘電路(字元線) CG7 控制閘電路(字元線) CG8 控制閘電路(字元線) Ml 記憶胞 M2 記憶胞 M3 記憶胞 M4 記憶胞 M5 記憶胞 M6 記憶胞 M7 記憶胞 M8 記憶胞 110674.doc -24- 1299180 SI 選擇電晶體 S2 選擇電晶體 10 矽基板 11 通道絕緣膜 12 浮動閘電極膜 12a 多晶矽膜 12b 多晶矽膜 14 氮化梦膜 15 掩膜 16 元件隔離溝 18 CVD氧化矽膜 19 過氫化矽氨烷聚合物溶液層 19a 聚矽氨烷膜 19b 聚矽氨烷氧化矽膜 20 水層 21 粒狀部 22 電極間絕緣膜 23 控制閘電極膜 24 側壁間隔物(#sidewall spacer#) 25 源極、沒極擴散層(^diffusion layer#) 26 層間絕緣膜(#interlayer insulating film#) 27 高濃度擴散層 28 接觸插塞 29 位元線 110674.doc 25- 1299180 30 邊界 41 聚石夕氨烧溶液層
110674.doc 26-

Claims (1)

1299180 十、申請專利範圍·· 1· 一種半導體裝置之製造方法,其含有·· 在基底(#Underlying触域上形成包含氧切作為主要 成分之弟1絕緣膜之步驟; … 使水附著於前述第1絕緣膜上之步驟; 在前述水附著之第!絕緣膜上形成包括含石夕之聚a物 (#P〇lymer#)之聚合物溶液層之步驟;及 從前述聚合物溶液層生成包含氧化矽作為主要成 第2絕緣膜之步驟; 77 “生成前述第2絕緣膜之步驟包含藉由上述聚合物和附 著於上述第1絕緣膜上之水的反應,生成氧化矽之步驟。 2.如請求項1之方法,其中 前述基底區域有溝(#trench#); 月述第1絕緣膜有基於前述溝之凹部; 月,J述第2絕緣膜填埋前述凹部。 3·如請求項2之方法,其中 前述溝是元件隔離(#元件隔離 =isolation#)溝。 4·如請求項3之方法,其中 前述元件隔離溝係藉由將半導體基板、形成於前迷半 導體基板上之第1閘極絕緣膜以及形成於前述第1閘極絕 緣膜上之第1閘極電極膜圖案化所形成。 5·如請求項4之方法,其中進而含有: 餘刻前述第1絕緣膜及第2絕緣膜而在前述元件隔離溝 内形成元件隔離絕緣部之步驟; 110674.doc 1299180 在如述弟1閘極電極膜^^ _ 第2門;Κ μ ▲ 、别述兀件隔離絕緣部上形成 第2閘極絕緣膜之步驟,·及 丄玢风 在前述第2閉極絕緣膜上形成 6.如請求項!之方法,其令 I極膜之步驟。 生成前述氧化矽之步驟包含 在Λ八$ 哪匕3在則述弟1絕緣膜之附近 生成3氧化矽之粒狀部之步驟。 7·如請求項6之方法,其中 在别述粒狀部捕獲到含於前述聚合物溶液層十。 8·如請求項丨之方法,其中 則述第2絕緣膜含有前述第丨絕緣膜與前述第2絕緣膜 之邊界附近之第i部分、和前述第i部分以外之第2部分; 前述第1部分之碳濃度比前述第2部分之碳濃度高。 9·如請求項1之方法,其中 水附著於前述第丨絕緣膜上之步驟包含將前述第丨絕緣 膜維持在冷卻狀態之步驟、和將前述第1絕緣膜從冷卻狀 態中解除之步驟。 10 ·如請求項1之方法,其中 生成前述第2絕緣膜之步驟包含使含於前述聚合物溶 液層中之溶劑揮發而形成聚合物膜之步驟、和在前述聚 合物膜實施熱處理之步驟。 U·如請求項丨之方法,其中更含有·· 餘刻前述第1絕緣膜及第2絕緣膜之步驟。 丄2·如請求之方法,其中更含有·· 使附著水於前述第2絕緣體膜上之步驟; 110674.doc 1299180 在則述附著水之第2絕緣膜上开少成包含含有石夕之聚合 物之補充聚合物溶液層之步驟;及 藉由則述補充聚合物溶液層所含之聚合物與附著於前 述第2絕緣膜上之水之反應,生成捕獲含於前述第2絕緣 膜之碳的氧化石夕之步驟。 13.如請求項1之方法,其中 生成前述第2絕緣膜之步驟包括: • 使含於前述聚合物溶液層中之溶劑揮發形成聚合物膜 之步驟; 、 使水附著於前述聚合物膜上之步驟; 在前述附著水之聚合物膜上形成包含含有矽之聚合物 之補充聚合物溶液層之步驟;及 藉由前述補充聚合物溶液層所含之聚合物和附著於前 • 述聚合物膜上之水的反應,生成捕獲含於前述聚合物膜 之碳的氧化石夕之步驟。 φ 14·如請求項1之方法,其中 含有前述矽之聚合物係過氫化矽氨烷聚合物 (#perhydro silazane polymer#)。 15_ —種半導體裝置,其含有: 基底區域; 形成於基底區域上’包含氧切作為主要成分之第w 緣膜;及 形成於前述第丨絕緣膜上,包含氧化矽作為主要成分之 第2絕緣膜; 110674.doc 1299180 近含有氡化矽 别述第2絕緣膜含有在前述第1絕緣膜附 之粒狀部。 16. 17. 18. 19. 20. 如請求項15之半導體裝置,其中 前述粒狀部含有碳。 如請求項16之半導體裝置,其中 述粒狀部 前述粒狀部之碳濃度比前述第2絕緣膜之 以外部分之碳濃度高。 如請求項15之半導體裝置,其中 如述基底區域有溝; 前述第1絕緣膜有基於前述溝之凹部; 前述第2絕緣膜填埋前述凹部。 如請求項18之半導體裝置,其中 前述基底區域包含半導體基板、形成於前述半導體基 板上之第1閘極絕緣膜及形《於前述第旧極絕緣膜上^ 第1閘極電極膜之圖案; 前述溝是由前述半導體基板、第丨閘極絕緣膜及第上閘 極電極膜之圖案所界定之元件隔離溝; 由别述第1絕緣膜及第2絕緣膜所形成之元件隔離絕緣 部形成於前述元件隔離溝内。 ' 如請求項19之半導體裝置,其中更含有: 形成於如述第1閘極電極膜及前述元件隔離絕緣部上 之第2閘極絕緣膜;及 形成於前述第2閘極絕緣膜上之第2閘極電極膜。 110674.doc
TW095115681A 2005-06-07 2006-05-03 Semiconductor device and method of manufacturing the same TW200707538A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005166949A JP4509868B2 (ja) 2005-06-07 2005-06-07 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW200707538A TW200707538A (en) 2007-02-16
TWI299180B true TWI299180B (zh) 2008-07-21

Family

ID=37510185

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095115681A TW200707538A (en) 2005-06-07 2006-05-03 Semiconductor device and method of manufacturing the same

Country Status (4)

Country Link
US (2) US7416955B2 (zh)
JP (1) JP4509868B2 (zh)
CN (1) CN100461347C (zh)
TW (1) TW200707538A (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5091428B2 (ja) * 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
KR20080061022A (ko) * 2006-12-27 2008-07-02 동부일렉트로닉스 주식회사 플래시 메모리 소자의 제조 방법
US8084372B2 (en) * 2007-08-24 2011-12-27 Tokyo Electron Limited Substrate processing method and computer storage medium
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
KR20100027388A (ko) * 2008-09-02 2010-03-11 삼성전자주식회사 반도체 소자의 절연막 및 그를 이용한 반도체 소자의 형성방법
JP2010147241A (ja) * 2008-12-18 2010-07-01 Toshiba Corp 不揮発性半導体記憶装置
JP5184498B2 (ja) * 2009-12-10 2013-04-17 日本電信電話株式会社 成膜方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9768270B2 (en) * 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
CN106887430B (zh) 2015-12-10 2020-03-10 中芯国际集成电路制造(北京)有限公司 Nand闪存的形成方法
US9847245B1 (en) * 2016-06-16 2017-12-19 Samsung Electronics Co., Ltd. Filling processes
CN110211916B (zh) * 2019-04-15 2021-08-10 上海华力集成电路制造有限公司 浅沟槽隔离结构的制造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3086926B2 (ja) * 1991-02-01 2000-09-11 科学技術振興事業団 酸化珪素膜の形成方法
US5172204A (en) * 1991-03-27 1992-12-15 International Business Machines Corp. Artificial ionic synapse
US5448111A (en) * 1993-09-20 1995-09-05 Fujitsu Limited Semiconductor device and method for fabricating the same
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
JPH08236502A (ja) * 1995-02-27 1996-09-13 Sony Corp 層間絶縁膜の平坦化方法及び半導体装置の製造方法
JP3447458B2 (ja) * 1996-03-21 2003-09-16 沖電気工業株式会社 半導体装置の製造方法
JP3460170B2 (ja) * 1997-02-03 2003-10-27 シャープ株式会社 薄膜トランジスタ及びその製造方法
JP3178412B2 (ja) * 1998-04-27 2001-06-18 日本電気株式会社 トレンチ・アイソレーション構造の形成方法
TW379453B (en) * 1998-05-26 2000-01-11 United Microelectronics Corp Method of manufacturing buried gate
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6346490B1 (en) * 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
GB2364823A (en) * 2000-07-12 2002-02-06 Seiko Epson Corp TFT memory device having gate insulator with charge-trapping granules
US7270886B2 (en) * 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
JP3738229B2 (ja) * 2001-05-30 2006-01-25 松下電器産業株式会社 半導体記憶装置及びその製造方法
JP2003031650A (ja) * 2001-07-13 2003-01-31 Toshiba Corp 半導体装置の製造方法
TWI247795B (en) * 2001-11-15 2006-01-21 Catalysts & Chem Ind Co Silica particles for polishing and a polishing agent
JP2003258082A (ja) 2002-03-04 2003-09-12 Toshiba Corp 半導体装置の製造方法
JP4018596B2 (ja) * 2002-10-02 2007-12-05 株式会社東芝 半導体装置の製造方法
JP3699956B2 (ja) * 2002-11-29 2005-09-28 株式会社東芝 半導体装置の製造方法
JP4594648B2 (ja) * 2004-05-26 2010-12-08 株式会社東芝 半導体装置およびその製造方法
JP4607613B2 (ja) * 2005-02-09 2011-01-05 株式会社東芝 半導体装置の製造方法

Also Published As

Publication number Publication date
JP2006344659A (ja) 2006-12-21
US7416955B2 (en) 2008-08-26
CN1877795A (zh) 2006-12-13
US20060281336A1 (en) 2006-12-14
US20090206409A1 (en) 2009-08-20
CN100461347C (zh) 2009-02-11
JP4509868B2 (ja) 2010-07-21
TW200707538A (en) 2007-02-16
US7884413B2 (en) 2011-02-08

Similar Documents

Publication Publication Date Title
TWI299180B (zh)
JP4672400B2 (ja) 過水素化ポリシラザン溶液およびそれを用いた半導体装置の製造方法
KR100732647B1 (ko) 반도체장치 및 그 제조 방법
TWI278960B (en) Method for achieving improved STI gap fill with reduced stress
US7776689B2 (en) Semiconductor device and method of fabricating the same
US8173515B2 (en) Method for manufacturing semiconductor device
TW201003854A (en) Method for manufacturing microelectronic device and semiconductor device using the same
JP2007221058A (ja) 半導体装置の製造方法
JP2000286254A (ja) 半導体集積回路装置およびその製造方法
JP2010098293A (ja) 半導体装置
JP4417882B2 (ja) 半導体装置の製造方法
US7514338B2 (en) Method of manufacturing a semiconductor device
US20080135919A1 (en) Sonos flash memory and method for fabricationg the same
KR100539213B1 (ko) 복합 유전막 형성 방법 및 이를 이용하는 반도체 장치의제조 방법
JP2002124649A (ja) 半導体集積回路装置およびその製造方法
JP2005064032A (ja) 半導体装置及びその製造方法
KR100829612B1 (ko) 박막 형성 방법 및 전하 트랩형 비휘발성 메모리 장치의제조 방법.
US20060223332A1 (en) Method of manufacturing semiconductor device
JPH07297186A (ja) 半導体装置の製造方法
JPH11307625A (ja) 半導体装置およびその製造方法
US7038304B2 (en) Semiconductor memory device and manufacturing method thereof
JPS5823482A (ja) 半導体装置の製造方法
JP2002305258A (ja) 不揮発性半導体記憶装置及びその製造方法
JP2005093816A (ja) 半導体装置の製造方法および半導体装置
JP2003031568A (ja) 半導体装置の製造方法及び半導体装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees