TWI283705B - Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices - Google Patents

Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices Download PDF

Info

Publication number
TWI283705B
TWI283705B TW094103865A TW94103865A TWI283705B TW I283705 B TWI283705 B TW I283705B TW 094103865 A TW094103865 A TW 094103865A TW 94103865 A TW94103865 A TW 94103865A TW I283705 B TWI283705 B TW I283705B
Authority
TW
Taiwan
Prior art keywords
composition
weight
substrate
cleaning
organic
Prior art date
Application number
TW094103865A
Other languages
English (en)
Other versions
TW200521222A (en
Inventor
Jun Cheng
Robert J Small
Bakul P Patel
Original Assignee
Ekc Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ekc Technology Inc filed Critical Ekc Technology Inc
Publication of TW200521222A publication Critical patent/TW200521222A/zh
Application granted granted Critical
Publication of TWI283705B publication Critical patent/TWI283705B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

1283705 九、發明說明: 【發明所屬之技術領域】
本發明係關於對於清洗半導體機材之有機及無機化合物 或聚合物(後#刻殘留物)特別有用之半水性清洗組合物。至 於此處所用之”半水性”一詞係指晶圓極有機溶劑之混合 物。本發明亦包含使用該組合物清洗半導體機材之殘留物 之方法。更特別的是,本發明敘述半水性清洗組合物及其 用法。溶液為部含或含it化物化合物、水及可視情況含腐 I虫抑制劑、螯合劑、界面活性劑、酸及驗之溶劑之有機錄 化合物或胺羧酸酯化合物。 【先前技術】 半導體工業中使用含氟化物之化學品清洗原始矽晶圓 (尚未經離子植入或設備建構之晶圓)已數年。通常氟化物化 學品(經常為稀釋之氫氟酸)係用作後續稱之為’’RCA洗滌” 中之最終製程步驟中。基材通常受先前製程中單層量之金 屬、因離子及/或有機污染物或表面殘留物(顆粒)之污染。 此等污染物已顯示對簡單試驗設備構造之電整合性會有明 顯的衝擊,且因此需在部損及其整合性之下有效的清洗。 此清洗方法可包含技術文獻,例如1991 _ Int. Conf. On Solid State Devices.and Materials,第 484-486頁,或 1996·之Kujime Τ·等人之 Semi.Pure Water and Chemicals,第 245-256頁,及 1995年 8月 Singer,Ρ·之 Semi. International,第 88 頁中討論 之技術。 教示以低pH值溶液清洗基礎晶圓之方法之專利包含美國 O:\99\99339.DOC -6- 1283705 專利第 5,560,857 及 5,645,737 ; 5,181,985 ; 5,603,849 ; 5,705,089 〇
用於最終RCA清洗步驟中之氟化物化學品(經常為HF)會 造成撥水疏水態(表面以Si-H基覆蓋)之石夕晶圓表面。該清洗 步驟過程中,會使某些比例之晶圓表面溶解(移除)。除非小 心地偵測清洗條件(時間、溫度、溶液組合物),否則可能會 傷及基材,如 1997. Rafols,C·等人在 J· Elec troanalytic C hem-433 , 第 77-83頁中 所述。 許多組合物均 結合水及有機 溶劑。 此等HF溶液中之水濃度非常的有限。如1998.8/5-7,史丹佛 大學 NSF/SRC Eng. Res. Center,Environmentally Benign Semiconductor Manufacturing,中之紀錄,氧化石夕氧化物在 HF/水中蝕刻速率為21埃/分鐘(@25°C ),但在異丁醇中之速 率降至2.14埃/分鐘,且在丙酮(aprotic solvent)最低,其速 率僅0.12埃/分鐘。 流線前端(FEOL)清洗製程之後,晶圓進行半導體設備之 一般流線後端(BEOL)之製造製程,其中之設備可為動態無 規存取記憶體(DRAMs),靜態無規存取記憶體(SRAMs), 僅可邏輯電可程式讀取之記憶體(EPROMs),矽上之補償金 屬(CMOS),等。使用化學反應之蝕刻製造技術(液體或電 漿)均曾用作在該半導體基材上形成價現構造之方法。 將光組劑薄膜沉積在晶圓上形成光罩,接著使基材設計 在薄膜層上呈像、烘烤,且以光組劑清洗劑移除未顯像之 圖像。留下之圖像再以以電漿能量提昇之反應性蝕刻氣體 轉移到底層材料(電介質或金屬)上。蝕科技氣體選擇性的侵 O:\99\99339.DOC -7- 1283705 • 餘基材之未經保護區。液態#刻化學品(經常含氟化物之化 學品)已經廣泛的使用於蝕刻金屬(A1)及電介質數年。氣化 物化學品極強烈,且會導致is〇tr〇piC姓刻(所有方向均同等 的餘刻)。對於今曰嚴密之尺寸控制需求,並無法忍受 Isotropic蝕刻之影響,雖然已經經由統計學上之製程控制技 術¥咸的控制isotropic姓刻,如Taylor,D”於1998年7月 Solid State Technology,第 119頁中所述。 g 常用之電漿蝕刻製程包含各相異性(單向)蝕刻,同時副 產物(包含光組劑、蝕刻氣體以及蝕刻之材料)以殘留物沉積 在蝕刻開口之側壁上。 該保護性侧壁沉積物形成之缺點微在蝕刻步驟後要移除 . 沉積物相當的困難。若此等沉積物中之成分以某些方式移 除或中和’則殘留物將會吸收水氣,且性成會腐餘金屬構 造之酸性物質。所得之酸腐蝕架線材料,且產生副作用, 如增加電阻抗及亂之中斷。此問題經常會發生,尤其是一 鲁純用紹及銘合金當作架線材料時。若未控制,則晶圓基 材與酸性材料接觸可能破壞金屬結構。 依據蝕刻操作’光組光罩需自經保護之表面移除,以完 成操作。期望上能發展一種改良之氮係組合物,以便在不 使金屬電路受損、溶解或光澤消失下或化學性的改變晶圓 基材下’自經塗覆之無機基材上移除有機聚合物物質。 . 用於移除尚未灰化之光阻劑塗料或其他基材之清洗組合 ,物大部份均為高度可燃,且對人類及環境通常有害,且包 括呈現不期望之毒性之反應性溶劑混合物。再者,此等清
O:\99\99339.DOC 1283705 洗組合物不僅有毒,而且由於需當作有毒廢棄物處理,因 此處理費用高。另彳,此等組合㈣其❹時間—般有嚴 格之限制’ 大部份均無法再回收或再利用。 侧壁殘留物以酸性有機溶劑或驗性有機溶劑移除。酸性 溶::般包含酚化合物或氯溶劑,及/或芳香系烴,及/或烷 基本石買酸。此等之調配物一般需在溫度達到或超過⑺代下 使用。此等化學品一般需以異丙醇洗滌。 稀釋之氫氟酸溶液可在特定之條件下,藉由強烈的侵蝕 電介質之側壁,移除側壁之聚合物,因此會改變裝置之尺 寸(如 Ireland,P.,在 Thin Solid Films,3〇4, pp M2 (1997)中 所教示者),且可能改變介電常數。先前含1^、硝酸、水及 羥基胺之化學品夠強至足以蝕刻矽,如授與A· 之美 國專利第3,592,773號中教示者。最近之資料亦顯示烯册溶 液對於清洗較新之CFx蝕刻殘留物可能無效,如K· Uen〇等 人在以稀釋氫氟酸溶液清洗CHF3電漿姓刻之Si〇2/siN/Cu 構造”J· Electrochem· Soc·,ν〇1· 144,(7) 1997 中之教示。開 在TiSi2上之接觸孔亦不容易以hf溶液清洗,因為其明顯的 在 TiSi2 層之下。如 Baklanov,M.R.等人在 Proc_ Electrochem Soc·,1998, 97-3 5, ρρ· 602-609.中之教示,化學品在狹窄親 水性接觸孔中大量的輸送可能亦相當困難。 一般層間之電介質、TEOS(四乙基原矽酸鹽)及磷矽酸硼 玻璃(BPSG)(其一般係用於步驟涵蓋之較佳順服性用之超 大型機體(ULSI)構造)之接觸孔四週之光阻劑通常係以hf 溶液移除。HF亦侵蝕電介質材料通常並不尋常。該侵蝕並 O:\99\99339.DOC -9- 1283705 • 非期望者(見 Lee,C.及 Lee,S,Solid State Electronics,4, PP, 921-923 (1997)) 〇 後14刻殘留物移除用之鹼性有機溶劑可包含胺及/或烷 醇胺及/或中和之有機溶劑。此等調配物通常需在溫度超過 . i〇0°c下使用。最近已使用一種新形後蝕刻殘留物清洗化學 品以移除此等基材。此等化學品包含羥基胺、胺、烷醇胺、 及腐蝕抑制劑,且一般係在比溫度低2〇至3〇度下操作。 % 最近,在有限之情況中已使用以氟為主之化學品,以移 除後蝕刻殘留物,且程度有限的在BE〇L(Back End 〇f Une) 製程過程中,自積體電路基材上移除光阻劑殘留物。許多 晶圓清洗組合物含氟化物成分,尤其是氟化氫。除此等組 • 合物可能含強的鹼性化學品(膽鹼衍生物,四烷基氫氧化 銨,氫氧化銨),如美國專利第5,129,955、5,563,119、或 5,571,447號中之揭示,或可使用兩相溶劑系統,其含有具 氫氟酸及水之相,且第二相含非極性有機溶劑(酮、醚、烷 • 或烯)(美國專利第5,603,849號)。其他調配物包含羥基胺及 氟化銨(授與Ward之美國專利第5,709,756)。其他實例包含 季銨鹽及以氟化物為主之組合物,如公開之歐洲申請 0662705號中揭*,及有機緩酸錄鹽或緩酸胺及以氣化物為 主之組合物,如美國專利第5,63〇,9〇4號中揭示。 部分化學品亦包含螯合劑,以便自晶圓表面移除離子性 或非離子性污物(PCT US98/02794),但螯合劑如檸檬酸、 鎵酸、及苯鄰二酚對涵蓋鋁金屬線之氧化鋁為強烈者。 . 0hman及Sjoberg之研究顯示檸檬酸離子之強的錯合化能力
O:\99\99339.DOC -10- 1283705 可增加氧化鋁之溶解度,且因此在PH5至6下,以166至468 之因子’使金屬曝露而進一步腐蚀(見〇hman等人之j. chem.
Soe,Dalton Trans. (1983),ρ·2513)。 清洗晶圓上之金屬及金屬氧化物殘留物之其他方法包含 將水蒸氣喷佈入電漿灰化室中,接著導入含氟之氣體(氫氟 酸)(美國專利第5,181,985號),或含氫氟酸、氟化銨、及水, 且pH值在1.5至低於7之間之液體。
在BEOL製程過程中亦需自晶圓表面移除粒狀殘留物。通 常大部分之製程均在超音波或megasonic清洗下,依賴異丙 醇及/或DI水潤濕清洗。對於氧化物晶圓表面之化學機械拋 光(CMP)清洗,一般僅使用01水,但對於鎢晶圓,經常需 要結合稀NH4OH及稀HF。 自晶圓表面移除雜質(顆粒或離子)之機構有五項: 1 ·以溶劑物理性的解吸附,其包含以大積之弱吸收溶劑 取代小量之強吸收顆粒(改變表面電荷之作用); 2·以酸或鹼改變表面電荷,及Si_〇H基可以以酸變成正電 或質子化,或藉由移除質子,以鹼變成負極; 3.藉由添鎵酸移除吸收之金屬離子使離子錯合化,· 4·雜質氧化或分解(包含使金屬、有機材料漿料顆粒之表 面氧化)會使雜質與基材表面間之化學力改變。化學反應可 為氧化還原或游離基; 5 ·钱刻氧化物表面,其會釋出雜質同時使一定厚度之美 材鰾面溶解。 一般所用以氟為主之化學品可以以第2項及第5項協助, O:\99\99339.DOC -11 - 1283705 但需謹慎控制其清洗條件。需多情況下,清洗組合物之成 分為相對較毒之反應性溶劑混合物,且因此使用者需在嚴 格之使用條件下使用,且須毒害化學處理步驟,及穿戴安 全衣物,以避免與清洗組合物接觸。另外,由於該清洗組 合物之許多毒性成分揮發性高,且具有高的蒸發速率,因 此在組合物儲存及使用過程中,需要特殊之人與環境安全 之預警。 據此,需要發展經改善之清洗組合物,以有效的清洗各 種基材上之各種沉積物。特別是在積體電路製造之領域 上,應了解針對改善清洗效能且避免侵蝕欲清洗之基材之 要求持續的增加。此意指適用於清洗較不複雜基電路基材 之組合物對於在製造製程中含更先進積體電路之基材可能 無法產生滿意之結果。 此等組合物亦應經濟、對環境無害且容易使用。 本發明教示此種新穎且經改善之清洗組合物,以及其使 用方法。該組合物為水性、溶解有機及無機基材,且當用 於製程中時,可清洗各種基材。該組合物提供更有效之基 材清洗’意指可更有效的移除殘留物,另外意指猶豫清洗 之基材可得到更高之製造產率。 【發明内容】 發明之簡單敘述 本發明之新穎清洗組合物在低溫下呈現使用單一成分, 或與其他清洗成分結合之成分,或與其他清洗成分結合之 成分’如乙氧基乙醇胺或院基醯胺不可能達到之協同提昇
O:\99\99339.DOC -12- 1283705 之清洗作用。 本發明之-t目的係提供一種在低溫下有效之半導體基 材清洗組合物。 /本發明之另—目的係提供—種可抑制金屬離子再沉殿之 後钱刻殘留物清洗組合物。 本發明之另一目的係性成不燃之此種清洗溶液。 本發明之另一目的係提供一種具有對氧化矽低蝕刻速率
之此種清洗溶液。 本發明之另一目的係提供此種清洗溶液以及移除後蝕刻 殘留物為主之金屬構造之方法。 本發明之另一目的係提供此種清洗溶液以及自vias移除 後鍅刻殘留物之方法。 本發明之另一目的係提供此種清洗溶液以及自低k之電 介質移除後|虫刻殘留物之方法。 此荨集相關之目的係藉由使用次處揭示之組合物及方法 達成。 依據本發明之組合物係用於清洗基材之殘留物,且不含 有機銨及胺羧酸酯。其包括約0.01重量%至約1〇重量%之一 種或多種氟化物化合物,自約20重量%至約50重量❶/。之水, 自約20重量%至約80重量。/()之内醯胺溶劑,及自約〇至約5〇 重里%之有機亞楓或二醇溶劑。該組合物之pH值在約6至約 1 〇之間。另外,該組合物可視情況含腐姓抑制劑、螯合劑、 界面活性劑、酸及鹼。 依據本發明自基材清洗殘留物之方法包括使基材與包括 O:\99\99339.DOC -13 - 1283705 一種或多種氟化物化合物、水及内醯胺溶劑之不含有機銨及 胺窥酸酯组合物,在溫度及時間均足以清洗基材之下接觸。 發明之詳細欽述 本發明之清洗組合物為不含有機銨及胺羧酸酯。藉由自 組合物去除有機銨及醯胺羧酸酯化合物達到許多優點。去 除此等化合物可降低產品之成本。有機銨及胺羧酸酯化合 物亦為一種稱之為相轉移觸媒之化合物。此等化合物在特 定溶劑條件下可不期望之副反性加速,亦即氫氧化物或鹵 化物反應之活化(其會腐蝕金屬之表面),如”工業上之相轉 移觸媒,,(實務指導及手冊,Mar· 1991,PTC Inteirface,Inc. Marietta,GA·)中所述。化學品中存在此等化合物亦會導入 額外之陽離子與陰離子污染物當作主材料源。 清洗組合物含一種或多種氟化物化合物。適當之氟化物 化合物為氟化銨,雙氟化銨及氫氟酸。較佳之氟化物化合 物為敦化銨及雙氟化銨。弱使用氫氟酸,可能需緩衝液將 其P Η值調整在約6至約丨〇之間。氟化物化合物期望之含量約 〇·〇1重里%至約1〇重量%。較好至約重量%至約5重量% 之間。通常,組合物中之氟化物化合物之濃度愈低,則使 用時所需之溫度愈高。 清洗組合物含一種或多種内醯胺溶劑。適當之内醯胺溶 劑包含具有4至7員環之内醯胺,包含1至5個碳原子烷基及 烷氧基取代之内醯胺,及5至7員環烷取代之内醯胺。内醯 胺溶劑適用之特殊實例包含哌啶酮,如1至5個碳原子烧 基、二烷基及烷氧基,二烷氧基哌啶酮,包含义甲基哌啶
O:\99\99339.DOC -14 - 1283705 酮、二甲基哌啶酮、N-曱氧基哌啶酮、二曱氧基哌啶酮、
N乙基略!1疋_、二乙基威σ定酮、二乙氧基略唆_等;此等 峰°疋_之環己基類似物,如Ν-曱基峨π各烧_、Ν-2-_美乙 基-2-吡咯烷酮、ν-2-(環己基)-2-吡咯烷酮。較佳之内醯胺 溶劑為N-曱基哌啶酮、二曱基哌啶_、及n_甲基吡咯烷酮。 二曱基哌啶酮為市售之主要為1,3_二甲基哌啶_及次要量 之1,5-二曱基喊咬酮之混合物。此内醯胺混合物可單獨或以 混合物使用。組合物可視情況含烷基亞砜,如二甲基亞諷 及/或^一酵’如丙二醇。 清洗組合物含水。一般係使用高純度去離子水。 組合物可視情況含腐蝕抑制劑。適當之腐蝕抑制劑包含 無基硝酸鹽,如硝酸銨、鉀、納及釕鹽。硝酸鋁及硝酸鋅。 組合物可視情況含螯合劑。適當之螯合劑為1997年9月3〇 曰授與Lee之美國專利第5,672,577號中敘述者,該專利在此 提出供參考。較佳之螯合劑包含兒茶酚、乙二銨四乙酸、 檸檬酸、戊二酮及戊二酮二 。 組合物可視情況含界面活性劑。適當之界面活性劑包含 聚(乙烯基醇)、聚(乙亞銨)及分類成陰離子性、陽離子性、 非離子性、兩性、及乙係為主之界面活性劑組合物。 成分之某些組合需要添加酸及/或鹼,將pH值調整至可接 受之值。本發明適用之酸為有機或無機酸。酸可包含硝酸、 硫酸、磷酸、鹽酸(雖然鹽酸會腐餘金屬),及有機酸、甲酸、 乙酸、丙酸、正-丁酸、異丁酸、苯甲酸、抗壞血酸、葡酸、 馬來酸、丙二酸、草酸、丁二酸、酒石酸、檸檬酸、鎵酸。 O:\99\99339.DOC -15- 1283705 隶後五種有機酸為螯合劑之實例 有機酸之一般構造 Ο
R—C—C-.OH
X
X=-OH,-NHR,-H,--素,-C02H,及-CH2C02H,-CHOH-C02H R=—般之脂肪系,η或芳香系 酸之濃度可在約1至約25重量%間變化。重要之因子為酸 及鹼性產物與水溶液中之任一種其他劑類之溶解度。 適用於調整清洗溶液之?11值之鹼性成分可由任一種一般 之鹼組成’即氫氧化納、鉀、鎂等。主要之問題為此等鹼 會將移動之離子導入最終之調配物中。移動之離子可能會 損壞今日半導體工業中欲製造之電腦晶片。其他之鹼可包 含膽鹼(季銨)或氫氧化銨。 操作: 使用本發明之清洗組合物清洗基材之方法包含使其上有 殘留物(特別是有機金屬或金屬氧化物殘留物)之基材與本 舍明之清洗組合物在足以移除殘留物之溫度下接觸一段時 間。可視情況使用技藝中已知之攪拌、循環、超音波或其 他技術。基材通常浸入清洗組合物中。時間及溫度之決定 係依據欲自基材上移除之特殊材料為基準。通常,溫度係 在常溫或是溫至約10(TC之間,且接觸時間係自約3〇秒至約 60分鐘之間。本發明所用之較佳溫度及接觸時間為在2〇至 O:\99\99339.DOC -16 - 1283705 45 °C下2至60分鐘。通常基材在使用此組合物之後會經洗 務。較佳之洗滌溶液為異丙醇及DI水。 本發明之組合物對於自金屬移除殘留物特別有用。
本發明之組合物對於低k之電介質特別有用。低k之電介 質為技藝中已知,且包含氟化之矽酸鹽玻璃(FSG),雜有機 矽氧烷聚合物(HOSP),多孔氧化矽(多孔玻璃)(LOSP),氫 矽半氧烷(HSQ),甲基矽半氧烷(MSQ),二乙烯基矽氧烷雙 (苯并環丁烯)(BCB),氧化矽低-k(SiLK),聚(芳烯基醚) (PAE,Flare,Parylene),及氟化聚亞醯胺(FPI)。 適於自基材移除光阻劑光罩或殘留物之本發明清洗組合 物或製成之實例列於下列實例中。 【實施方式】 實例1. 一組含氟化銨,水以及二曱基哌啶酮、乙腈、及 經基胺之一之清洗化學品以金屬晶圓作試驗,該金屬晶圓 為TEOS/Ti/TiN/AlCu/TiN(由下至上)之堆積,且以市售AMT DPS蝕刻器,以Cl1/BCli電漿蝕刻。所得具殘留物之金屬晶 圓切割成小樣品片,接著將該樣品片浸入表1中之化學溶液 中,且在室溫下清洗5分鐘。取出該樣品片,以去離子水洗 條,且以Νι流烘乾。以Hitachi 4500 FE-SEM進行SEM,以 評估其清洗及腐蝕作用。以目視比較分析金屬堆積上之殘 留物移除及腐蝕作用,且分成1至1 〇等。表1中之調配物係 以重量百分比表示。 O:\99\99339.DOC -17-
1283705 表1 時間 金屬線 配方 NH4F DI水 ACN DMP HDA (分) 清洗 A1 T1 TiN 氧化物 A 1 35 64 5 10 7 10 10 10 B 1 35 64 5 10 8 10 10 10 C 1 35 64 5 8 10 10 10 10 反應溫度:室溫 金屬線之金屬駐留 DI水:去離子水 金屬管:1-不良10-完全 ACN ··乙腈 A1旋轉:10-未改變,1-金屬切割 DMP : 1,3-二甲基哌啶酮 Ti維持:10-未改變,<5-發現腐蝕 HAD :羥基胺 TiN維持:10-未改變,<5-發現腐蝕 氧化物維持:10-未改變,<5-發現 腐蝕 結果發現含DMP之調配物可提供在鋁上稍微腐蝕形式之 最佳清洗效能與腐蝕之結合。依此基準,選擇DMP進一步 研究以提供提昇之效能。 實例2.以實例1之結果為準,評估具低氟化銻濃度之調配 物,以測定聚氟化銻與水及DMP之清洗調配物中氟化銻之 底限。以C12/BC13電漿配合市售LAM TCP9600蝕刻器蝕刻 氧化物/Ti/TiN/AlCu/TiN(由下至上)堆積金屬晶圓。所得具 殘留物之金屬晶圓切割成小樣品片,接著將該樣品片浸入 表2中之化學溶液中,且在室溫下清洗5分鐘。取出該樣品 片,以去離子水洗滌,且以N2流烘乾。以Hitachi 4500 FE-SEM進行SEM,以評估其清洗及腐蝕作用。以目視比較 分析金屬堆積上之殘留物移除及腐蝕作用,且分成1至1 〇 等。表2中之調配物係以重量百分比表示。 O:\99\99339.DOC -18- 1283705
反應溫度:室溫 金屬飨' • 1線之金屬駐留 DI水·去離子水 金θ . 戈屬官:1-不良10_穿令 DMP: U-二甲基哌啶酮A1 疋王 % 疋轉· 10-未改變,1-金屬切割 維持· 10-未改變,<5_發現腐蝕 灿維持·· 1G-未改變,<5_發現腐钱 氧化物維持未改變,<5_發現 腐名虫 此等結果顯示以含低至約〇 旦 •重里氟化録之組合物可得 到明顯的清洗結果,且沒有腐 β碼触。且以調配物中具有0.2重 量%之氟化錄濃度可得到較短之處理時間。 實例3.重複實例2之步驟,但使用含3重量%或1〇重量% I化銻’且添加丙二醇當作額外溶劑之調配物。結果示於 表3及4中。 表3 '〜— 以wt%計之調配物 配方 nh4f DI水 DMP in 時間 —*«-— 金屬線 LL 0.3 49.7 10 清洗 A1 T1 TiN 氧化物 ΜΜ 0.3 59.7 20 ~ 5 8 6 10 10 10 ΝΝ 0.3 49.7 40~ 9.5 5 10 10 10 00 0.3 79.7 10 5 8.5 4 10 10 10 ΡΡ 0.3 797 30 ιυ 48 5 1—-- 5 5 9 7 —10 10 10 QQ ΌΌ 0.3 39.7 24— 8 1 A 10 1 f\ 10 ' 10 10 10 10 10 i\Jtv SS TT 0.3 0.3 27.7 27.7 67 57 5 —H 5 5 IU 10 1 A IU 10 1 A —10 —10 10 10 1 \J 10 1Π 1 I UU 0.3 0.3 27.7 29.7 0 45~ -^. 5 lu 1 10 10 1 \J 10 10 1U 10 VV WW 0.3 0.3 29.7 29.7 25 69 A 7 7 10 10 10 10 10 10 10 10 XX 0.3 30.7 35 υ 5 —5 1 7 10 10 10 10 10 10 10 10
O:\99\99339.DOC -19- 1283705 反應溫度:室溫 DI水·去離子水 DMP · 1,3_一甲基喊唆轉 PG :丙二醇 金屬線之金屬駐留 /月洗官:10-完全1-幾乎未清洗 A1方疋轉:1〇_未改變,1-去掉A1層
Tl維持:1〇_未改變,1-去掉Ti層
TlN維持:!〇•未改變,1-去掉TiN層 氧化物維持:10-未改變,1-去掉氧 化物層
以wt%計之調配物
反應溫度:室溫 DI水:去離子水 金屬線之金屬駐留 清洗管:10-完全^幾乎未清洗 10-未改變,1-去掉A1層 10-未改變,1-去掉Ti層
DMP 1,3-二甲基喊咬_ PG :丙二醇 A1旋轉 Ti維持
TlN維持:10-未改變,1-去掉TiN層 氧化物維持:1〇-未改變,丨―去掉氧 細=了解表3及4中所示調配物所得之結果,以圖卜4之四 -仆物:圖描繪出數據,以分別顯示殘留物清洗效能與低 氣化物‘度及高氣化物濃度中水、崎㈣濃度之函數關
O:\99\99339.DOC -20- 1283705 係’圖5顯示表3中之pH與溶液中水、DMP及PG濃度之函數 關係。圖5中紀錄之pH值係以具有玻璃pH電極之〇ri〇n SA520計測量。圖1與圖2之比較顯示有時需較高之氟化物濃 度’以得到以高的水及高的DMP濃度之滿意清洗結果。圖3 及4顯示相對於水,以高濃度之dMp可得到最小之腐蝕。圖 5與圖1-4之結合顯示需以適當之pH值以避免鋁實質上之腐 姓以及得到最適之清洗結果。
實例4.如實驗操作之結果,測定之最佳組合物為〇·75%含 40重量%濃度氟化鋁之水,17重量%2DMSO(二甲基亞 職),57.25重量%2DMP(二甲基哌啶酮)及25重量%之D I.。 依據貫例2之另一試驗對於表3及4中所列之所有基材均可 提供10之腐蝕數及10之清洗數。 其他氟化物化合物、内醯胺溶劑、有機亞砜、及其他二 醇之取代物均可得到類似有利之結果。 熟習本技藝者由前述之實例將會了解到依據製造製程中 之不同條件,可進行前述清洗溶液之改良及改變。上述之 具體例係以實例說明。例如,電介質維護之特定討論係以 提出之清洗溶液及方法保護之其他金屬、金屬合金及聚矽 基材構造之指標。教示之實例並不限於以下列巾請專利範 圍界定之本發明。 本說明書中提出之所有公告及專财請案均在此處提出 供參考。 本發明已充分的說明,熟f本技藝者須了解許多的改變 及改值均不離本發明之精神或範圍。
O:\99\99339.DOC -21 -

Claims (1)

  1. 公告本 * I28y?⑽薦號專利申請案 中文申請專利範圍替換本(96年2月) 96. 2. 27 十、申請專利範圍·· 1 · 一種自基材上移除光阻及蝕刻殘留物及抑制金屬離子之 再澱積之不含有機銨及胺羧酸酯之水性組合物,其包含 佔該組合物重量之0.01重量%至10重量%之一或多種氟化 物化合物,佔該組合物重量之20重量%至80重量%之一不 為哌啶酮之内醯胺溶劑,佔該組合物重量之2〇重量%至50 重量%之水,及一螯合劑,其中該組合物係具介於6及10 之間之pH值。 2·根據申請專利範圍第1項之組合物,其中該光阻及蝕刻殘 留物係自含低K介電物質之基材上移除。 3·根據申請專利範圍第1或2項之組合物,其中該一或多種 氟化物化合物係佔該組合物重量之〇 〇5重量%至5重量〇/〇。 4.根據申請專利範圍第3項之組合物,其進一步包含額外溶 劑’選自有機亞砜及二醇所組成之群組。 5 ·根據申請專利範圍第3項之組合物,其中該内醯胺溶劑具 • 有4至7員環。 6_根據申請專利範圍第4項之組合物,其中該額外溶劑係有 機亞砜。 7·根據申請專利範圍第4項之組合物,其中該額外溶劑係二 醇。 8_根據申請專利範圍第3項之組合物,其中該氟化物化合物 係氟化銨或雙氟化銨。 9·根據申巧專利範圍第3項之組合物,其中該内醯胺為吡咯 1283705 炫酮。 I 〇·根據申睛專利範圍第9項之組合物,其中該吡咯烷g同為 N-2-(經基乙基)_2-吡咯烷酮或N_2(環己基)-2_吡咯烷酮。 II ·根據申睛專利範圍第9項之組合物,其中該氟化物化合物 係氟化錢、雙氟化銨或氫氟酸。 12·根據申請專利範圍第3項之組合物,其進一步包含腐蝕抑 制劑。 13 ·根據申請專利範圍第3項之組合物,其進一步包含界面活 | 性劑。 14·根據申請專利範圍第7項之組合物,其中該二醇係丙二 醇。 1 5 ·根據申請專利範圍第3項之組合物,其中該組合物不含相 轉移觸媒。 16. —種自基材上移除光阻及腐餘殘留物及抑制金屬離子之 再澱積之方法,其包含使該基材與一不含有機銨及胺羧 酸醋之水性組合物接觸,該組合物係包含佔該組合物重 験 量之0.01重量%至10重量%之一或多種氟化物化合物,佔 該組合物重量之20重量%至80重量%之一不為哌啶酮之 内醯胺溶劑,佔該組合物重量之2〇重量%至5〇重量%之 水’及一螯合劑,其中該組合物係具介於6及10之間之pH 值。 17·根據申請專利範圍第16項之方法,其中該基材有緊密澱 積於其上之低K介電材料,及該光阻及腐餘殘留物係藉由 使該低K介電材料與包含一或多種氟化物化合物、不為哌 99339-960227.doc -2- .1283705 錢之内酿胺溶劑及整合劑之不含有機敍及胺叛酸醋之 ' 水性組合物接觸而移除。 18·根據申請專利範圍第16或17項之方法,其中該一或多種 氟化物化合物係佔該組合物重量之〇 · 〇 $重量%至5重量%。 19·根據申請專利範園第18項之方法,其中該内醯胺為吡咯 烷酮。 20·根據申請專利範圍第18項之方法,其進一步包含額外溶 劑’選自有機亞風及二醇所組成之群組。 參 21·根據申請專利範圍第2〇項之方法,其中該額外溶劑係二 醇。 22.根據申請專利範圍第21項之方法,其中該二醆及 ^ 吁1糸丙二醇。
    99339-960227.doc ,12 g3^0^65號專利申請案 中文圖式替換頁(96年2月) 十二、圖式>6 2,27
    DMF
    050 {sse000 12 號專利申請案 中文圖式替換頁(96年2月) 96; 2/27
    12 號專利申請案 中文圖式替換f(%年g月) S OJ
    DMP
    f—冷 蕭骑 100
TW094103865A 1999-07-16 2000-08-29 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices TWI283705B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/354,834 US6235693B1 (en) 1999-07-16 1999-07-16 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices

Publications (2)

Publication Number Publication Date
TW200521222A TW200521222A (en) 2005-07-01
TWI283705B true TWI283705B (en) 2007-07-11

Family

ID=23395085

Family Applications (2)

Application Number Title Priority Date Filing Date
TW089114173A TWI233942B (en) 1999-07-16 2000-08-29 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
TW094103865A TWI283705B (en) 1999-07-16 2000-08-29 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW089114173A TWI233942B (en) 1999-07-16 2000-08-29 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices

Country Status (10)

Country Link
US (1) US6235693B1 (zh)
EP (1) EP1212150B1 (zh)
JP (1) JP2003507901A (zh)
KR (1) KR20020031159A (zh)
AT (1) ATE421905T1 (zh)
AU (1) AU6348600A (zh)
DE (1) DE60041497D1 (zh)
HK (1) HK1047063A1 (zh)
TW (2) TWI233942B (zh)
WO (1) WO2001005524A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6472335B1 (en) * 1998-10-19 2002-10-29 Taiwan Semiconductor Manufacturing Company Methods of adhesion promoter between low-K layer and underlying insulating layer
JP4516176B2 (ja) * 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7456140B2 (en) 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
WO2002004233A1 (en) * 2000-07-10 2002-01-17 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6656894B2 (en) * 2000-12-07 2003-12-02 Ashland Inc. Method for cleaning etcher parts
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
JP4314320B2 (ja) * 2002-04-10 2009-08-12 三菱電機株式会社 化合物半導体装置の製造方法
JP2003332465A (ja) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp 半導体メモリデバイスの製造方法
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
CN101228481B (zh) * 2005-02-25 2012-12-05 Ekc技术公司 从包括铜和低k电介体的基片上除去抗蚀剂、蚀刻残余物和氧化铜的方法
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
JP4734090B2 (ja) * 2005-10-31 2011-07-27 株式会社東芝 半導体装置の製造方法
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
KR100823714B1 (ko) * 2006-08-24 2008-04-21 삼성전자주식회사 폴리머 제거용 세정액 및 이를 이용한 폴리머 제거방법
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
KR101452550B1 (ko) 2007-07-19 2014-10-21 미쓰비시 가가꾸 가부시키가이샤 Ⅲ 족 질화물 반도체 기판 및 그 세정 방법
CN101815811A (zh) * 2007-09-06 2010-08-25 Ekc技术公司 用来处理铜表面的组合物和方法
KR20100082012A (ko) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
US8101525B2 (en) * 2009-02-13 2012-01-24 Applied Materials, Inc. Method for fabricating a semiconductor device having a lanthanum-family-based oxide layer
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US8951950B2 (en) * 2012-03-12 2015-02-10 Ekc Technology Aluminum post-etch residue removal with simultaneous surface passivation
EP3480288A1 (en) * 2017-11-07 2019-05-08 Henkel AG & Co. KGaA Fluoride based cleaning composition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5780406A (en) * 1996-09-06 1998-07-14 Honda; Kenji Non-corrosive cleaning composition for removing plasma etching residues
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤

Also Published As

Publication number Publication date
US6235693B1 (en) 2001-05-22
DE60041497D1 (de) 2009-03-19
EP1212150B1 (en) 2009-01-28
WO2001005524A1 (en) 2001-01-25
ATE421905T1 (de) 2009-02-15
AU6348600A (en) 2001-02-05
JP2003507901A (ja) 2003-02-25
HK1047063A1 (zh) 2003-02-07
KR20020031159A (ko) 2002-04-26
TWI233942B (en) 2005-06-11
EP1212150A4 (en) 2003-04-02
TW200521222A (en) 2005-07-01
EP1212150A1 (en) 2002-06-12

Similar Documents

Publication Publication Date Title
TWI283705B (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
KR100561178B1 (ko) 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
US7001874B2 (en) Non-corrosive cleaning composition for removing plasma etching residues
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
KR100595024B1 (ko) 박리제 조성물
US6773873B2 (en) pH buffered compositions useful for cleaning residue from semiconductor substrates
TWI441902B (zh) 光阻殘渣及聚合物殘渣的除去液組成物
JP2004502980A (ja) 半導体デバイスの有機及びプラズマエッチング残さの洗浄用組成物
JP2000503342A (ja) 金属汚染ウエハ基板の平滑性維持洗浄
JP5801594B2 (ja) 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
US6121217A (en) Alkanolamine semiconductor process residue removal composition and process
CN111356759B (zh) 剥离剂溶液和使用剥离剂溶液的方法
JP2008129571A (ja) フォトレジスト、エッチ残留物及びbarcを除去するための配合物及び同配合物を含む方法
JP5674373B2 (ja) 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
JP2006173566A (ja) 剥離剤組成物
KR20230056740A (ko) 세정 조성물
CN116568743A (zh) 清洁组成物

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees