JP2003507901A - 半導体装置のための有機およびプラズマ・エッチング残留物の洗浄用ラクタム組成物 - Google Patents

半導体装置のための有機およびプラズマ・エッチング残留物の洗浄用ラクタム組成物

Info

Publication number
JP2003507901A
JP2003507901A JP2001519124A JP2001519124A JP2003507901A JP 2003507901 A JP2003507901 A JP 2003507901A JP 2001519124 A JP2001519124 A JP 2001519124A JP 2001519124 A JP2001519124 A JP 2001519124A JP 2003507901 A JP2003507901 A JP 2003507901A
Authority
JP
Japan
Prior art keywords
composition
weight percent
lactam
cleaning
fluoride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001519124A
Other languages
English (en)
Inventor
チェン,ジュン
スモール,ロバート,ジェイ.
パテル,バクル,ピー.
Original Assignee
イーケーシー テクノロジー,インコーポレイティド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by イーケーシー テクノロジー,インコーポレイティド filed Critical イーケーシー テクノロジー,インコーポレイティド
Publication of JP2003507901A publication Critical patent/JP2003507901A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】 基板から残留物を洗浄するための組成物は、約0.01重量パーセントから約10重量パーセントの1以上の弗化物化合物と、約20重量パーセントから約50重量パーセントの水と、約20重量パーセントから約80重量パーセントのラクタム溶媒と、0から約50重量パーセントの有機スルホキシドあるいはグリコール溶媒とを含む。その組成物は、約2および約10の間のpHをもつ。その組成物は、さらに任意に、腐食防止剤、キレート化剤、界面活性剤、酸および塩基をも含む。その組成物の使用に当たっては、基板の洗浄を可能とする時間と温度でその組成物に基板を接触させる。

Description

【発明の詳細な説明】
【0001】 発明の背景 発明の分野 この発明は、半水性の(semiaqueous)洗浄組成物およびその使用方法(processe
s)に関する。その溶液は、有機アンモニウム化合物およびカルボン酸アミン化合
物を含まない(free)ものであり、弗化化合物、水および溶媒を含み、さらに任意
に、腐食防止剤、キレート化剤、界面活性剤、酸および塩基をも含む。
【0002】 関連技術の説明 半導体工業において、プライムシリコンウエファ(未だイオン注入やデバイス
構築されていないウエファ)を洗浄するために弗化物を含んだ化学物質(chemist
ries)が長年用いられてきた。標準的には、その弗化物化学物質(通常は、希弗
化水素酸)は、「RCAリンス(RCA rinses)」と呼ばれる連続工程の最後のプロ
セス・ステップとして用いられている。その基板は、しばしば、先行するプロセ
ス・ステップから単層の量の(monolayer amounts)金属、アニオンおよび/また
は有機の汚染物質、あるいは表面残留物(粒子)により汚染される。これらの汚
染物質は、簡単なテスト用デバイス構造の電気的保全性(electrical integrity)
に重大な衝撃を与えるものとして示されており、それらは保全性を損なわないよ
うに有効に洗浄されることが必要である。そのような洗浄法は、技術文献、例え
ば、「mt. Conf. On Solid State Devices and Materials, 1991」(484〜486頁
)あるいはT. Kujime他著「Proc. of the 1996 Semi. Pure Water and Chemical
s」(245〜256頁)およびP. Singer著「Semi. International」(88頁、1995年1
0月)に論じられている技術を包含することができるものであった。
【0003】 低pH溶液を用いてプライムウエファを洗浄するための方法を教示する特許に
は、米国特許第5,560,857号、第5,645,737号、第5,181,985号、第5,603,849号お
よび第5,705,089号がある。
【0004】 最終のRCA洗浄ステップとして弗化物化学物質(通常は、HF)を用いれば
、シリコン・ウエファの表面は水をはじく疎水性の状態にされることになる(そ
の表面がSi−H基で被われる)。この洗浄ステップの間に、一定比率のウエフ
ァ表面が溶解する(除去される)。洗浄条件(時間、温度、溶液組成)を注意深
く監視しなければ、C. Rafols他著「J. Electroanalytic Chem. 433」(77〜83
頁、1997年)により報告されているように、基板は損傷されることになる。多く
の組成物が水および有機溶媒に結合している。これらのI-IF溶液中の水の濃
度は極めて臨界的である。酸化シリカは、HF/水の中で21A/分(25℃で
)のエッチング・レートをもっているが、NSF/SRC Eng. Res. Center, Environm
entally Benign Semiconductor Manufacturing(1998年8月5〜7日、スタンフォ
ード大学)で報告されているように、イソブタノール中ではそのレートは2.1
4A/分まで落ち、アセトン(非プロトン性溶媒)中ではさらに低くなってその
レートは僅かに0.12A/分であった。
【0005】 ライン前工程(Front End of Line; FEOL)洗浄プロセスの後、ウエファは半
導体デバイスのための典型的なライン後工程(Back End of Line; BEOL)製造プ
ロセスへと進む。ここで、そのデバイスとしては、ダイナミック・ランダム・ア
クセス・メモリ(DRAMs)、スタティック・ランダム・アクセス・メモリ(
SRAMs)、論理回路、電気的にプログラム可能な読取り専用メモリ(EPR
OMs)、相補形メタルオンシリコン(complementary metal on silicon)(C
MOS)等がある。化学反応を利用するエッチング加工技術(液体あるいはプラ
ズマ)がそのような半導体基板上に配線構造を形成する方法として用いられてき
た。
【0006】 フォトレジストフィルムがウエファ上に付着されてマスクを形成した後、その
フィルム層上に基板デザインがイメージされ、加熱処理されて、現像されないイ
メージはフォトレジスト洗浄剤で除去される。次いで、プラズマ・エネルギーで
活性化された(promoted)反応性エッチングガスによって、残存するイメージが下
層の材料(誘電体あるいは金属のいずれか)に転写される。そのエッチャントガ
スは選択的に基板の保護されていない区域を攻撃する。金属(Al)および誘電
体をエッチングするために、通常は弗化物化学物質を含む液体エッチング化学物
質が広く長年にわたって用いられてきた。弗化物化学物質は極めて攻撃性が強く
、等方性のエッチング(全方向に等しいエッチング)を実現することができる。
D. Taylor著「Solid State Technology」(1998年7月、119頁)に報告されてい
るように、統計的なプロセス制御技術により等方性エッチングを制御するよう企
画されてきたが、等方性エッチング効果は今日の厳しい臨界寸法制御(tight cri
tical dimension control)を求める現在のニーズには応えられない。
【0007】 通常のプラズマ・エッチングプロセスは異方性(単向性)エッチングを伴うが
、同時に、エッチングされた開口の側壁に副生物(フォトレジスト、エッチング
ガスおよびエッチングされた材料から構成される)が残留物として付着される。
【0008】 保護側壁付着物を形成する不都合は、エッチング工程後にその残留物を除去す
るのが非常に困難になるということである。これらの残留物中の成分が何らかの
態様で除去されないか、あるいは、中和されないと、それらの残留物は水分を吸
収し、金属構造体を腐食することになる酸性種 (acidic species)を形成する。
生成される酸は配線材料を腐食し、電気抵抗の増加や配線の断線のような有害な
結果をもたらす。このような問題は、特に配線材料として一般的に使用されてい
るアルミニウムやアルミニウム合金において、頻繁に発生する。酸性材料に接す
るウエファ基板は、もし制御されなければ、金属構造体を破壊してしまうことに
なる。
【0009】 エッチング作業が完了すると、保護表面からレジスト・マスクを除去して仕上
げ作業を可能にすることが必要である。金属回路を腐食、溶解あるいは劣化させ
ることなく、また、ウエファ基板を化学的に変質させることなく、被覆された無
機の基板から有機の高分子物質を除去するために、改善された洗浄組成物を開発
することが望まれている。
【0010】 フォトレジストコーティングの除去(アッシングされていない場合)および他
の基板に使用される洗浄組成物は、その大部分が、可燃性が高く、一般的に人お
よび環境の両方にとって有害であり、また、望ましくない程度の毒性を示す反応
性の溶媒混合物を含有するものであった。さらに、これらの洗浄組成物は有毒で
あるのみならず、それらは有害な廃棄物として処分されるべきものとなるので、
それらの処分は高くつく。加えて、これらの組成物は、一般的に、厳格に制限さ
れた浴寿命(bath life)を有し、また、その大部分は、リサイクル可能あるいは
再使用可能ではない。
【0011】 側壁の残留物は、酸性有機溶媒あるいはアルカリ性有機溶媒のいずれかによっ
て、除去されてきた。酸性溶媒は、一般に、フェノール化合物あるいはクロロ溶
媒および/または芳香族炭化水素および/またはアルキルベンゼンスルホン酸か
らなる。これらの組成は、一般に、100℃以下およびそれを超える温度で使用
されなければならない。これらの化学物質は、標準的には、イソプロパノールに
よりリンスされなければならない。
【0012】 希弗化水素酸溶液は、或る条件の下で、誘電体の貫通部側壁(via sidewall)に
攻撃的に化学作用を及ぼし、それ故に、P. Ireland著「Thin Solid Films, 304
」(1〜12頁、1997年)により教示されているようにデバイスのディメンジョン
を、かつ、可能ならば誘電率をも、変化させることによって、側壁のポリマーを
除去することができる。A. Mullerに発行された米国特許第3,592,773号により教
示されているように、HF、硝酸、水およびヒドロキシルアミンを含む前述の化
学物質はシリコンをエッチングするに十分な攻撃性をもっている。最近の情報も
また、K. Ueno他著「Cleaning of CHF3 Plasma-Etched SiO2/SiN/Cu Via Struct
ures with Dilute Hydrofluoric Acid Solutions」(J. Electrochem. Soc.、vo
l. 144 (7)、1997年)により教示されているように、希HF溶液はより新しいC
F〜エッチング残留物を洗浄するためには効果的でないことを示している。Ti
Si2上に開けられた接続孔(contact hole)もまた、HF溶液で洗浄すると下に
あるTiSi2層も攻撃すると考えられるため、HF溶液で洗浄するには困難で
あった。M. R. Baklanov他著「Proc. Electrochem. Soc. 1998, 97-35」(602〜
609頁)により教示されているように、狭い親水性の接続孔内への薬品の大量輸
送にも困難がある。
【0013】 一般的な中間層誘電体として、TEOS(テトラエチルオルトシリケート)お
よびホウ素ホスホシリケートガラス(boron phosphosilicate glass; BPSG
)があり、それらは超大規模集積回路(ULSI)構造においてステップ・カバ
ーレッジ(step coverage)がより良好に適合するために普通に用いられているが
、それらの接続孔の周りのフォトレジストは、通常、HF溶液により除去される
。HFによりその誘電体材料に化学作用を及ぼさせることも希なことではない。
そのような化学作用は望ましいことではない(C. LeeおよびLee著「5, Solid St
ate Electronics, 4」(921〜923頁、1997年)参照)。
【0014】 エッチング後残留物(post etch residue)の除去のためのアルカリ性有機溶媒
は、アミンおよび/またはアルカノールアミンおよび/または中性有機溶媒から
構成され得る。これらの配合物は,一般に、100℃を超える温度で使用されな
ければならない。最近、新しい種類のエッチング後残留物の洗浄用化学物質がこ
れらの基板の洗浄のために使用されてきている。これらの化学物質は、ヒドロキ
シルアミン、アミン、アルカノールアミンおよび腐食防止剤を含んでおり、通常
20ないし30度低い温度で作用する。
【0015】 最近、弗化物ベースの化学物質が、限られた例ではあるがエッチング後残留物
を、また、限られた範囲ではあるがBEOL(ライン後工程)プロセス中に集積
回路基板からフォトレジストの残留物を、除去するために使用されてきている。
ウエファ洗浄用組成物の多くは弗化物成分、特に弗化水素、を含んでいる。加え
て、これらの組成物は、米国特許第5,129,955号、第5,563,119号あるいは第5,57
1,447号に開示されているような強苛性化学薬品(コリン誘導体、水酸化テトラ
アルキルアンモニウム、水酸化アンモニウム)を含んでもよく、あるいは、第一
の相では弗化水素酸および水を含み、第二の相では無極性有機溶媒(ケトン、エ
ーテル、アルカンあるいはアルケン)を含む二相溶媒系を使用してもよい(米国
特許第5,603,849号)。他の組成物としては、ヒドロキシルアミンおよび弗化ア
ンモニウムを含んでいる(Wardに発行された米国特許第5,709,756号)。更なる
例としては、欧州出願公開第0662705号に開示されているように、第四級アンモ
ニウム塩および弗化物ベースの組成物を含み、また、米国特許第5,630,904号に
開示されているように、オルガノカルボキシルアンモニウム塩(organocarboxyli
c ammonium salt)あるいはカルボン酸アミン(amine carboxylate)および弗化物
ベースの組成物を含んでいる。
【0016】 一部の化学物質は、ウエファ表面からイオンおよびアニオンによる汚染を除去
するために、キレート化剤をも含んでいたが(PCT US 98/02794)、中でもクエ
ン酸、没食子酸、カテコールのようなキレート化剤がAl金属線を被覆する酸化
アルミニウムに対して攻撃的であった。OhmanおよびSjobergによる研究は、pH
5および6において166および468という係数で、クエン酸イオンの強い錯
形成能は酸化アルミニウムの溶解度を増大させ、それによって金属を露出させて
更に腐食させるということを示している(Ohman他著「J. Chem. Soc., Dalton T
rans. (1983)」(2513頁)参照)。
【0017】 ウエファ上の金属および金属酸化物の残留物を洗浄するための他の方法は、水
蒸気をプラズマアッシングチャンバ内に噴霧し、次いで、ガス(弗化水素酸)を
含有する弗素(米国特許第5,181,985号)あるいは弗化水素酸を含有する液体、
弗化アンモニウムおよび水を1.5から7未満までのpHで導入することを備え
ている。
【0018】 BEOLプロセス間において、ウエファ表面から粒状残留物を除去する必要性
もある。目下のところ、多くのプロセスでは、超音波あるいはメガ音波洗浄とと
もに、あるいは、それなしに、イソプロパノールおよび/または脱イオン水によ
るリンスが行われている。化学機械的研磨(CMP)後の酸化物ウエファについ
ての洗浄のためには、標準的に、脱イオン水のみが使用されるが、タングステン
ウエファの場合は、通常、希NH4OHおよび希HFの組合せが必要とされる。
【0019】 ウエファ表面から不純物(粒子および/またはイオン)を除去するためには、
以下の5つのメカニズムがある。 1.溶媒による物理的な脱着、そこでは、少数の強吸着粒子が大量の弱吸着溶媒 で置き換えられる(表面電荷の相互作用を変化させる)。 2.酸あるいは塩基のいずれかによる表面電荷の変化、すなわち、Si―OH基 は酸により正にされ、すなわち、プロトンが付加され、または、塩基により プロトンが除去されて負にされる。 3.酸を加えて吸着金属イオンを除くことによるイオン錯化(ion complexion)( すなわち、イオン交換)。 4.不純物の酸化あるいは分解、そこでは、金属、有機材料あるいはスラリー粒 子表面の酸化が行われ、不純物と基板表面との間の化学的な力を変化させる ことになる。化学反応は、レドックス化学あるいは遊離基のいずれかを介し てもよい。 5.酸化物表面のエッチング、それにより、不純物は除かれるが、基板表面が或 る厚さまで溶解される。
【0020】 目下のところ利用されている弗化物ベースの化学物質は上記項2および5におい
て役立つが、洗浄条件が注意深く制御されなければならない。多くの場合、洗浄
組成物の成分は、比較的有毒で反応性の溶媒混合物であり、このため、厳重な使
用条件に従わなければならず、また、洗浄組成物への接触を避けるように危険な
化学的取扱手順および使用者による安全な衣服および装具の着用を要求する。加
えて、そのような洗浄組成物の有毒な成分の多くは揮発性が強く、高い蒸発速度
下に置かれるため、組成物の貯蔵中および使用中に特別の人的および環境的安全
対策を講じる必要がある。
【0021】 したがって、極めて多様な基板から多様な付着物を効率的に洗浄するための改
善された洗浄組成物を開発する必要がある。特に集積回路製造の場においては、
洗浄される基板への化学作用を避けることができるような改善された洗浄性能に
対し、絶えず要求は高まりつつあるということが認識されるべきである。このこ
とは、より精巧でない集積回路基板の洗浄ならば適していた組成物も、より進歩
した集積回路を含む基板については、製造のプロセスにおいて満足のいく結果を
挙げることができなくなるということを意味している。
【0022】 これらの組成物は、経済的で、環境にやさしく、かつ、簡便に使用しうるべき
でもある。
【0023】 本発明は、新しく、かつ、改善された洗浄組成物およびその使用方法(プロセ
ス)を教示する。この組成物は、水性であり、有機および無機の物質を溶かし、
プロセスに適用されれば、多様な基板を洗浄することができる。この組成物は基
板のより効果的な洗浄を可能にするものであり、それは、残留物のより効果的な
除去を意味し、したがって、洗浄される基板からより高い製品収量が得られるこ
とを意味している。
【0024】 発明の要約 本発明の新規な洗浄組成物は、低温において、相乗的に強調された洗浄作用お
よび洗浄能力を示し、それらは、個々の成分、あるいは、他の洗浄成分と組み合
わされた成分、あるいは、エトキシエタノールアミンまたはアルキルアミドのよ
うな他の洗浄成分と組み合わされた成分の使用からは得られない。
【0025】 本発明の総合的な目的は、低温においても有効な半導体基板用洗浄組成物を提
供することである。
【0026】 本発明の他の目的は、金属イオンの再付着を抑制するエッチング後残留物の洗
浄組成物を提供することである。
【0027】 本発明の他の目的は、燃えやすくはない洗浄液を構成することである。
【0028】 本発明の他の目的は、酸化シリコンに対して低いエッチング・レートをもつ洗
浄液を提供することである。
【0029】 本発明の他の目的は、金属構造体からエッチング後残留物を除去する洗浄液お
よびプロセスを提供することである。
【0030】 本発明の他の目的は、貫通部(vias)からエッチング後残留物を除去する洗浄液
およびプロセスを提供することである。
【0031】 本発明の他の目的は、低kの誘電体からエッチング後残留物を除去する洗浄液
およびプロセスを提供することである。
【0032】 上記の、また、関連する目的は、ここに開示される組成物およびプロセスの実
施により達成される。
【0033】 この発明による組成物は、基板から残留物を洗浄するためのものであり、有機
アンモニウムおよびカルボン酸アミンを含まないものである。それは、約0.0
1重量パーセントから約10重量パーセントの1以上の弗化物化合物、約20重
量パーセントから約50重量パーセントの水、約20重量パーセントから約80
重量パーセントのラクタム溶媒および0から約50重量パーセントの有機スルホ
キシドあるいはグリコール溶媒を含む。その組成物は、約2および約10の間の
pHをもつ。さらに、その組成物は、任意に、腐食防止剤、キレート化剤、界面
活性剤、酸および塩基を含む。
【0034】 この発明による基板から残留物を洗浄するプロセスは、基板を洗浄するに十分
な温度で、かつ、そのための時間の間、1以上の弗化物化合物、水およびラクタ
ム溶媒を含み、有機アンモニウムおよびカルボン酸アミンを含まない組成物にそ
の基板を接触させることを備えている。
【0035】 発明の詳細な説明 この発明の洗浄組成物は、有機アンモニウムおよびカルボン酸アミンを含まな
いものである。その組成物から有機アンモニウムおよびカルボン酸アミンの化合
物を排除しえたことにより、いくつかの利点が達成される。これらの化合物を排
除したことで製品のコストが低減された。有機アンモニウムおよびカルボン酸ア
ミンの化合物は、相関移動触媒と呼ばれる種類の化合物である。1991年5月PTC I
nterface, Inc. Marietta, GA発行「"Phase-Transfer Catalysis in Industry"
A Practical Guide and Handbook」により報告されているように、そのような化
合物は、或る溶媒条件下において、望ましくない副反応、すなわち、水酸化ある
いはハロゲン化反応の活性化(これが金属表面を腐食させる)を加速させること
になる。化学物質中にこれらの化合物が存在すれば、原材料源として更なるカチ
オンおよびアニオンによる汚染が導入されることになる。
【0036】 本洗浄組成物は、1以上の弗化物化合物を含む。適合する弗化物化合物は、弗
化アンモニウム、重弗化アンモニウムおよび弗化水素である。好適な弗化物化合
物は、弗化アンモニウムおよび重弗化アンモニウムである。弗化水素を用いる場
合には、約2および約10の間のpHをもたらすように、緩衝剤が必要とされて
もよい。弗化物化合物は、望ましくは約0.01重量パーセントから約10重量
パーセント、好ましくは約0.05重量パーセントから約5重量パーセント、の
量で存在する。一般に、弗化物化合物の濃度が低くなれば、使用温度はより高く
なることが必要である。
【0037】 本洗浄組成物は、1以上のラクタム溶媒を含む。適合するラクタム溶媒は、4
ないし7員環をもつラクタムを含み、それは、1ないし5炭素原子のアルキルお
よびアルコキシ置換ラクタムおよび5ないし7員環のアルカン置換ラクタムを含
んでいる。ラクタム溶媒の適合する特定の例は、1ないし5炭素原子のアルキル
、ジアルキルおよびアルコキシ、ジアルコキシピペリドンのようなピペリドンを
含み、それは、N−メチルピペリドン、ジメチルピペリドン、N−メトキシピペ
リドン、ジメトキシピペリドン、N−エチルピペリドン、ジエチルピペリドン、
ジエトキシピペリドン等;これらのピペリドンに類似したシクロヘキシルであっ
て、N−メチルピロリドン、N−2(ヒドロキシエチル−2−ピロリドン、N2
(シキロヘキシル)−2−ピロリドン等、を含んでいる。好適なラクタム溶媒は
、N−メチルピペリドン、ジメチルピペリドンおよびN−メチルピロリドンであ
る。ジメチルピペリドンは、大部分の1,3ジメチルピペリドンおよび少量の1
,5ジメチルピペリドンの混合物として市販されている。本ラクタム溶媒は、単
独で、あるいは、混合物としてのいずれかにより使用される。本組成物は、任意
に、ジメチルスルホキシドのようなアルキルスルホキシドおよび/またはプロピ
レングリコールのようなグリコールを含む。
【0038】 本洗浄組成物は、水を含む。典型的には、高純度の脱イオン水が使用される。
【0039】 本組成物は、任意に、腐食防止剤を含む。適合する腐食防止剤は、アンモニウ
ム、カリウム、ナトリウムおよびルビジウムの硝酸塩、硝酸アルミニウムおよび
硝酸亜鉛のような無機硝酸塩を含む。
【0040】 本組成物は、任意に、キレート化剤を含む。適合するキレート化剤は、1997年
9月30日にLeeに発行され、共同して譲渡されている米国特許第5,672,577号に記
載されており、それはこの参照によりここに組み入れられる。好適なキレート化
剤は、カテコール、エチレンジアミン四酢酸、クエン酸、ペンタンジオンおよび
ペンタンジオンジオキシムを含む。
【0041】 本組成物は、任意に、界面活性剤を含む。適合する界面活性剤は、ポリ(ビニ
ルアルコール)、ポリ(エチレンイミン)およびアニオン、カチオン、非イオン
、両性、およびシリコンベースに関するものとして分類される界面活性剤組成物
のいずれかを含む。好適な界面活性剤は、ポリ(ビニルアルコール)およびポリ
(エチレンイミン)である。
【0042】 成分の組合せの一部においては、pHを許容できる値に調節するために、酸お
よび/または塩基の添加が必要となる。本発明における使用しうる酸は、有機あ
るいは無機である。それらの酸は、硝酸、硫酸、燐酸、塩酸(塩酸は金属を腐食
させるが)および有機酸、蟻酸、酢酸、プロピオン酸、n−ブチル酸、イソブチ
ル酸、安息香酸、アスコルビン酸、グルコン酸、リンゴ酸、マロン酸、蓚酸、琥
珀酸、酒石酸、クエン酸、没食子酸を含む。最後の5つの有機酸はキレート化剤
の例である。
【0043】
【化1】
【0044】 酸の濃度は、約1から約25重量パーセントまで変化しうる。重要な要素とな
るのは、水性溶液中における付加された薬剤のいずれかとの酸および塩基の生成
物の溶解性である。
【0045】 本洗浄液のpHを調節するための使用に適した苛性成分は、通常の塩基、すな
わち、ナトリウム、カリウム、マグネシウムの水酸化物あるいは類似物、のいず
れかにより構成される。大きな問題となるのは、これらの塩基が最終の組成中に
可動イオンを導入することである。可動イオンは、今日半導体産業において生産
されるコンピュータ・チップを破壊しうるものである。他の塩基としては、コリ
ン(第四級アミン)あるいは水酸化アンモニウムがある。
【0046】 作用 本発明の洗浄組成物を使用して基板を洗浄する方法は、その上に残留物、特に
有機金属のあるいは金属酸化物の残留物、を有する基板を本発明の洗浄組成物に
、その残留物を除去するために十分な時間間隔と温度で接触させることを備えて
いる。任意に、この技術分野で知られている攪拌、振動、循環、音波処理あるい
はその他の技術を用いてもよい。通常、基板は本洗浄組成物中に浸漬される。時
間および温度は、基板から除去される特定の材料に基づいて、決定される。一般
的には、温度はおよそ周囲温度あるいは室温から100℃までの範囲内であり、
接触時間は約30秒から60分までである。本発明のための好適な温度と接触時
間は、20ないし45℃で2ないし60分間である。通常、本組成物の使用後に
基板はリンスされることになる。好適なリンス液は、イソプロパノールおよび脱
イオン水である。
【0047】 本発明の組成物は、金属および貫通構造(via feature)から残留物を除去する
ために特に有効である。
【0048】 本発明の組成物は、低kの誘電体に対して特に有効である。低kの誘電体はこ
の技術分野で知られており、弗化処理されたシリケートガラス(FSG)、ヒド
リドオルガノシロキサンポリマー(HOSP)、低級有機シロキサンポリマー(
LOSP)、ナノ細孔性(nanoporous)シリカ(ナノガラス)、水素シルセスキオ
キサン(HSQ)、メチルシルセスキオキサン(MSQ)、ジビニルシロキサン
ビス(ベンゾシクロブテン)(BCB)、低kシリカ(SiLK)、ポリ(ア
リーレンエーテル)(PAE、Flare、Parylene)および弗化処理されたポリイ
ミド(FPI)を含む。
【0049】 基板からレジストマスクおよび残留物を除去するために適した、本発明による
洗浄組成物およびプロセスの実施例を、以下の実施例において記述する。
【0050】 実施例1 弗化アンモニウム、水およびジメチルピペリドン、アセトニトリルおよびヒド
ロキシルアミンのうちの一つからなる成分を含有する一群の洗浄化学物質が、市
販されているAMT DPS エッチング装置におけるCl2/BCl3プラズマに
よりエッチングされたTEOS/Ti/TiN/AlCu/TiN(下から上へ
)のスタックを備えた金属ウエファを用いて、テストされた。得られた残留物を
もつ金属ウエファは、小さい試料片に切断され、次いで、その試料片が表1の化
学溶液中に、室温で5分間の洗浄のために、浸漬された。試料片は取り出されて
、イオン除去された水でリンスされ、N2流により乾燥された。洗浄および腐食
の効果を評価するために、SEMがHitachi 4500 FE-SEMにより実行された。金
属スタック上の残留物除去および腐食効果が、視覚による比較によって、査定さ
れ、1から10のスケール上に全てランク付けされた。表1における組成は重量
パーセントにより示されている。
【0051】
【表1】
【0052】 結果は、DMPを含む組成が、最良の洗浄性能と、アルミニウムへの僅かな化
学作用という態様での最小の腐食範囲との組み合わせを与えることを示した。こ
れを根拠として、強化された組成(enhanced formulation)を提供するための更な
る検討のためにDMPが選択された。
【0053】 実施例2 例1における結果に基づいて、低濃度の弗化アンモニウムをもつ組成が、弗化
アンモニウム、水およびDMPを含む洗浄組成における弗化アンモニウムに対す
る下限を決定するために、評価された。市販されているCl2/BCl3プラズマ
を備えたLAM TCP 9600エッチング装置が、酸化物/Ti/TiN/AlCu/T
iN(下から上へ)のスタックを備えた金属ウエファをエッチングするために、
使用された。得られた残留物をもつ金属ウエファは、小さい試料片に切断され、
その試料片が表2の化学溶液中に、室温で5分間の洗浄のために、浸漬された。
試料片は取り出されて、イオン除去された水でリンスされ、N2流により乾燥さ
れた。洗浄および腐食の効果を評価するために、SEMがHitachi 4500 FE-SEM
により実行された。金属スタック上の残留物除去および腐食効果が、視覚による
比較によって、査定され、1から10のスケール上に全てランク付けされた。表
2における組成は重量パーセントにより示されている。
【0054】
【表2】
【0055】 これらの結果は、約0.1重量パーセント程度の少量の弗化アンモニウムを含
有する組成物によって、腐食がなく、顕著な洗浄結果が得られることを示してい
る。これらの組成において、0.2重量パーセントの弗化アンモニウム濃度によ
り、より短い処理時間においてより良好な洗浄結果が得られている。
【0056】 実施例3 0.3重量パーセントあるいは1.0重量パーセントの弗化アンモニウムを含
有する組成および追加の溶媒として添加されたプロピレングリコールを用いて、
実施例2の手順が繰り返された。結果は表3および4に示されている。
【0057】
【表3】
【0058】
【表4】
【0059】 表3および4に示されている組成を用いて得られた結果を理解するために、デ
ータが図1ないし4の三角座標ダイアグラムにプロットされた。それらのダイア
グラムは、それぞれ、低濃度弗化物溶液および高濃度弗化物溶液における水、D
MPおよびPGの濃度の関数としての残留物洗浄性能、および、低濃度弗化物溶
液および高濃度弗化物溶液における水、DMPおよびPGの濃度の関数としての
アルミニウム腐食を示している。図1を図2と比較すれば、満足しうる洗浄結果
を得るためには、高濃度の水および高濃度のDMPとともに、高濃度の弗化物が
必要となる場合があることが分る。図3および4は、水に対して高濃度のDMP
を用いれば、最少の腐食が生じることを示している。
【0060】 実施例4 上記実験の結果、特に好適な組成は、0.75%の水中の重量濃度が40%の
弗化アンモニウム、17重量%のDMSO(ジメチルスルホキシド)、57.2
5重量%のDMP(ジメチルピペリドン)および25重量%のDI水であると確
定された。実施例2の手順に従ってさらにテストを行い、表3および4に挙げら
れた基板材料の全てについて、腐食の数値10および洗浄の数値10を得た。 下記の表5は、本発明による種々のpH値および各種の組成物における腐食及
び洗浄の結果を示している。表5に報告されている、pH値はガラスpH電極を
もつOrion SA 520測定器により測定された。表5は、広範囲のpH値を通じて、
本発明による組成物が有効であることを示している。
【0061】
【表5】
【0062】 他の弗素化合物、ラクタム溶媒、有機スルホキシドおよび他のグリコールを置
換しても、同様に有利な結果を得ることができる。
【0063】 上述した実施例から、当業者は、上述した洗浄液に対して、その生成プロセス
に固有の条件を変更することによって、修正や変更を施すことができ、また、そ
れが期待されることを認識するであろう。上述の実施態様は、例の形で与えられ
ている。例えば、誘電体の保存(dielectric preservation)について特別に議論
することは、提案されている洗浄液および洗浄方法によって保護される他の金属
、金属合金やポリシリコン構造体を例示することとなる。教示した実施例は本発
明を制限するものではなく、本発明は後に続く請求の範囲によって定義されるも
のである。
【0064】 この明細書において言及された全ての刊行物および特許出願は、個々の刊行物
あるいは特許出願が特別かつ個別に参照により組み入れられるよう指示されてい
る範囲で、参照によりここに組み入れられる。
【0065】 ここで本発明は全部説明されたが、添付した請求の範囲の精神および技術的範
囲から離れることなく、それに対して多くの変更や修正がなされうることは、当
業者にとって明らかであろう。
【図面の簡単な説明】
【図1】 組成の関数として、本発明を実施した際に達成される洗浄結果を
プロットした三角座標ダイアグラムである。
【図2】 組成の関数として、本発明を実施した際に達成される洗浄結果を
プロットした三角座標ダイアグラムである。
【図3】 組成の関数として、本発明を実施した際に達成される腐食結果を
プロットした三角座標ダイアグラムである。
【図4】 組成の関数として、本発明を実施した際に達成される腐食結果を
プロットした三角座標ダイアグラムである。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) C11D 7/34 C11D 7/34 7/50 7/50 7/60 7/60 (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,BZ,C A,CH,CN,CR,CU,CZ,DE,DK,DM ,DZ,EE,ES,FI,GB,GD,GE,GH, GM,HR,HU,ID,IL,IN,IS,JP,K E,KG,KP,KR,KZ,LC,LK,LR,LS ,LT,LU,LV,MA,MD,MG,MK,MN, MW,MX,MZ,NO,NZ,PL,PT,RO,R U,SD,SE,SG,SI,SK,SL,TJ,TM ,TR,TT,TZ,UA,UG,US,UZ,VN, YU,ZA,ZW (72)発明者 パテル,バクル,ピー. アメリカ合衆国 94588 カリフォルニア 州 プリ−サントン グレン アイル コ ート 2773 Fターム(参考) 4H003 BA12 DA15 DC04 EA05 EB12 EB13 ED28 ED31 ED32 FA07 FA28

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 基板から残留物を洗浄するための有機アンモニウムおよびカルボン酸アミンを
    含まない組成物において、 約0.01重量パーセントから約10重量パーセントの1以上の弗化物化合物
    と、 約20重量パーセントから約50重量パーセントの水と、 約20重量パーセントから約80重量パーセントのラクタム溶媒と、 0から約50重量パーセントの有機スルホキシドあるいはグリコール溶媒と を含み、かつ、 前記組成物が約2および約10の間のpHをもっていることを特徴とする組成
    物。
  2. 【請求項2】 請求項1の組成物であって、 前記ラクタム溶媒が、4ないし7員環をもつラクタムであり、かつ、1ないし
    5炭素原子のアルキルもしくはアルコキシ置換ラクタム又は5ないし7員環アル
    カン置換ラクタムである組成物。
  3. 【請求項3】 請求項2の組成物であって、 前記ラクタムがピペリドンである組成物。
  4. 【請求項4】 請求項3の組成物であって、 前記ピペリドンが1ないし5炭素原子のアルキル、ジアルキル アルコキシ又
    はジアルコキシピペリドンである組成物。
  5. 【請求項5】 請求項4の組成物であって、 前記ピペリドンがN−メチルピペリドン、ジメチルピペリドン、N−メトキシ
    ピペリドン、ジメトキシピペリドン、N−エチルピペリドン、ジエチルピペリド
    ン又はジエトキシピペリドンである組成物。
  6. 【請求項6】 請求項2の組成物であって、 前記ラクタムがピロリドンである組成物。
  7. 【請求項7】 請求項6の組成物であって、 前記ピロリドンがN−2(ヒドロキシエチル−2−ピロリドン又はN−2(シ
    キロヘキシル)−2−ピロリドンである組成物。
  8. 【請求項8】 請求項2の組成物であって、 前記ラクタムがN−メチルピペリドン、ジメチルピペリドン又はN−メチルピ
    ロリドンである組成物。
  9. 【請求項9】 請求項8の組成物であって、 前記ラクタムがジメチルピペリドンである組成物。
  10. 【請求項10】 請求項8の組成物であって、 前記弗化物化合物が弗化アンモニウム、重弗化アンモニウム又は弗化水素であ
    る組成物。
  11. 【請求項11】 請求項1の組成物であって、 前記弗化物化合物が弗化アンモニウム、重弗化アンモニウム又は弗化水素であ
    る組成物。
  12. 【請求項12】 請求項1の組成物であって、 さらに腐食防止剤を含む組成物。
  13. 【請求項13】 請求項1の組成物であって、 さらにキレート化剤を含む組成物。
  14. 【請求項14】 請求項1の組成物であって、 さらに界面活性剤を含む組成物。
  15. 【請求項15】 請求項1の組成物であって、 さらに酸を含む組成物。
  16. 【請求項16】 請求項1の組成物であって、 さらに塩基を含む組成物。
  17. 【請求項17】 請求項1の組成物であって、 弗化アンモニウム、水およびジメチルピペリドンを含む組成物。
  18. 【請求項18】 請求項17の組成物であって、 さらにジメチルスルホキシド又はプロピレングリコールを含む組成物。
  19. 【請求項19】 基板から残留物を洗浄するための方法であって、 その基板を洗浄するに十分な温度で、かつ、そのための時間の間、1以上の弗
    化物化合物、水およびラクタム溶媒を含み、有機アンモニウムおよびカルボン酸
    アミンを含まない組成物にその基板を接触させるステップを備えている方法。
  20. 【請求項20】 請求項15の方法であって、 前記温度が約20°から約100℃までの範囲内である方法。
  21. 【請求項21】 請求項16の方法であって、 前記温度が約20°から約45℃までの範囲内である方法。
  22. 【請求項22】 請求項16の方法であって、 前記時間が約3分から約10分までの範囲内である方法。
  23. 【請求項23】 請求項15の方法であって、 前記組成物が約0.01重量パーセントから約10重量パーセントの1以上の
    弗化物化合物と、約20重量パーセントから約50重量パーセントの水と、約2
    0重量パーセントから約80重量パーセントのラクタム溶媒とを含み、かつ、そ
    の組成物が約2および約10の間のpHをもっている方法。
  24. 【請求項24】 請求項19の方法であって、 前記組成物がさらに約50重量パーセントまでの有機スルホキシド又はグリコ
    ール溶媒を含んでいる方法。
JP2001519124A 1999-07-16 2000-07-17 半導体装置のための有機およびプラズマ・エッチング残留物の洗浄用ラクタム組成物 Pending JP2003507901A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/354,834 US6235693B1 (en) 1999-07-16 1999-07-16 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US09/354,834 1999-07-16
PCT/US2000/019333 WO2001005524A1 (en) 1999-07-16 2000-07-17 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices

Publications (1)

Publication Number Publication Date
JP2003507901A true JP2003507901A (ja) 2003-02-25

Family

ID=23395085

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001519124A Pending JP2003507901A (ja) 1999-07-16 2000-07-17 半導体装置のための有機およびプラズマ・エッチング残留物の洗浄用ラクタム組成物

Country Status (10)

Country Link
US (1) US6235693B1 (ja)
EP (1) EP1212150B1 (ja)
JP (1) JP2003507901A (ja)
KR (1) KR20020031159A (ja)
AT (1) ATE421905T1 (ja)
AU (1) AU6348600A (ja)
DE (1) DE60041497D1 (ja)
HK (1) HK1047063A1 (ja)
TW (2) TWI233942B (ja)
WO (1) WO2001005524A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009515055A (ja) * 2005-11-09 2009-04-09 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 低k誘電体材料をその上に有する半導体ウェハをリサイクルするための組成物および方法
US7928446B2 (en) 2007-07-19 2011-04-19 Mitsubishi Chemical Corporation Group III nitride semiconductor substrate and method for cleaning the same

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6472335B1 (en) * 1998-10-19 2002-10-29 Taiwan Semiconductor Manufacturing Company Methods of adhesion promoter between low-K layer and underlying insulating layer
JP4516176B2 (ja) * 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7456140B2 (en) 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
WO2002004233A1 (en) * 2000-07-10 2002-01-17 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6656894B2 (en) * 2000-12-07 2003-12-02 Ashland Inc. Method for cleaning etcher parts
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
JP4314320B2 (ja) * 2002-04-10 2009-08-12 三菱電機株式会社 化合物半導体装置の製造方法
JP2003332465A (ja) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp 半導体メモリデバイスの製造方法
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
CN101228481B (zh) * 2005-02-25 2012-12-05 Ekc技术公司 从包括铜和低k电介体的基片上除去抗蚀剂、蚀刻残余物和氧化铜的方法
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
JP4734090B2 (ja) * 2005-10-31 2011-07-27 株式会社東芝 半導体装置の製造方法
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
KR100823714B1 (ko) * 2006-08-24 2008-04-21 삼성전자주식회사 폴리머 제거용 세정액 및 이를 이용한 폴리머 제거방법
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
CN101815811A (zh) * 2007-09-06 2010-08-25 Ekc技术公司 用来处理铜表面的组合物和方法
KR20100082012A (ko) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
US8101525B2 (en) * 2009-02-13 2012-01-24 Applied Materials, Inc. Method for fabricating a semiconductor device having a lanthanum-family-based oxide layer
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US8951950B2 (en) * 2012-03-12 2015-02-10 Ekc Technology Aluminum post-etch residue removal with simultaneous surface passivation
EP3480288A1 (en) * 2017-11-07 2019-05-08 Henkel AG & Co. KGaA Fluoride based cleaning composition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09197681A (ja) * 1995-11-13 1997-07-31 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
WO1998010050A1 (en) * 1996-09-06 1998-03-12 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09197681A (ja) * 1995-11-13 1997-07-31 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
WO1998010050A1 (en) * 1996-09-06 1998-03-12 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009515055A (ja) * 2005-11-09 2009-04-09 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 低k誘電体材料をその上に有する半導体ウェハをリサイクルするための組成物および方法
US7928446B2 (en) 2007-07-19 2011-04-19 Mitsubishi Chemical Corporation Group III nitride semiconductor substrate and method for cleaning the same
US8022413B2 (en) 2007-07-19 2011-09-20 Misubishi Chemical Corporation Group III nitride semiconductor substrate and method for cleaning the same

Also Published As

Publication number Publication date
US6235693B1 (en) 2001-05-22
DE60041497D1 (de) 2009-03-19
EP1212150B1 (en) 2009-01-28
WO2001005524A1 (en) 2001-01-25
ATE421905T1 (de) 2009-02-15
AU6348600A (en) 2001-02-05
HK1047063A1 (zh) 2003-02-07
KR20020031159A (ko) 2002-04-26
TWI233942B (en) 2005-06-11
EP1212150A4 (en) 2003-04-02
TW200521222A (en) 2005-07-01
TWI283705B (en) 2007-07-11
EP1212150A1 (en) 2002-06-12

Similar Documents

Publication Publication Date Title
JP2003507901A (ja) 半導体装置のための有機およびプラズマ・エッチング残留物の洗浄用ラクタム組成物
EP1177275B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
JP4959095B2 (ja) 半導体デバイスの有機及びプラズマエッチング残さの洗浄用組成物
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
EP1381663B1 (en) Cleaning compositions
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
EP2107420A1 (en) Compositions for removing etching residue and use thereof
JP5801594B2 (ja) 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
Raghavan et al. Back-End-of-Line cleaning

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070717

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091013

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100608