KR20020031159A - 반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을위한 락탐 조성물 - Google Patents

반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을위한 락탐 조성물 Download PDF

Info

Publication number
KR20020031159A
KR20020031159A KR1020027000653A KR20027000653A KR20020031159A KR 20020031159 A KR20020031159 A KR 20020031159A KR 1020027000653 A KR1020027000653 A KR 1020027000653A KR 20027000653 A KR20027000653 A KR 20027000653A KR 20020031159 A KR20020031159 A KR 20020031159A
Authority
KR
South Korea
Prior art keywords
composition
piperidone
lactam
fluoride
cleaning
Prior art date
Application number
KR1020027000653A
Other languages
English (en)
Inventor
쳉준
스몰로버트제이
파텔바쿨피
Original Assignee
피. 제리 코더
이케이씨 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피. 제리 코더, 이케이씨 테크놀로지, 인코포레이티드 filed Critical 피. 제리 코더
Publication of KR20020031159A publication Critical patent/KR20020031159A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

약 0.01 내지 약 10 중량%의 하나 이상의 플루오라이드 화합물, 약 20 내지 약 50 중량%의 물, 약 20 내지 약 80 중량%의 락탐 용매 및 0 내지 약 50 중량%의 유기 설폭사이드 또는 글리콜 용매를 포함하는, 기판으로부터 잔사를 세척하는 조성물에 관한 것이다. 상기 조성물의 pH는 약 2 내지 약 10이다. 또한, 상기 조성물은 임의로 부식 억제제, 킬레이트제, 계면활성제, 산 및 염기를 함유한다. 상기 조성물의 사용에서, 기판을 상기 조성물과 상기 기판의 세척을 허용하는 시간 및 온도에서 접촉시킨다.

Description

반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을 위한 락탐 조성물{LACTAM COMPOSITIONS FOR CLEANING ORGANIC AND PLASMA ETCHED RESIDUES FOR SEMICONDUCTOR DEVICES}
플루오라이드 함유 화학물질은 수년간 반도체 산업에서 프라임 실리콘 웨이퍼(아직 이온 주입이나 장치 구성이 되지 않은 웨이퍼)의 세척에 사용되어 왔다. 통상적으로, 상기 플루오라이드 화학물질(대개는 희 불화수소산)은 "RCA 세정"이라 칭하는 시퀀스에서 최종 공정 단계로서 사용된다. 기판(substrate)은 종종 선행 공정 단계들로부터 단층량의 금속, 음이온 및/또는 유기 오염물질 또는 표면 잔사(입자들)로 오염된다. 이러한 오염물질들은 간단한 시험 장치 구조물의 전기 보전에 상당한 영향을 미치는 것으로 나타났으며 이들을 상기 보전의 손상없이 효율적으로 세척할 필요가 있다. 이러한 세척 방법은 예를 들어 기술 문헌[mt. Conf. On Solid State Devices and Materials, 1991, pp. 484-486, 또는 Kujime, T. et al., Proc. of the 1996 Semi. Pure Water and Chemicals, pp. 245-256, 및 Singer, P. Semi. International, P.88, Oct. 1995]에 논의된 기법을 포함할 수 있다.
저 pH 용액으로 프라임 웨이퍼를 세척하는 방법을 교시하는 특허들에는 미국 특허 제 5,560,857; 5,645,737; 5,181,985; 5,603,849; 5,705,089 호가 있다.
최종 RCA 세척 단계로서 플루오라이드 화학물질(대개는 HF)을 사용하면 실리콘 웨이퍼 표면이 소수성 상태(표면이 Si-H 그룹으로 덮인다)로 되어 물을 반발하게 될 것이다. 이러한 세척 단계 동안 일정 비율의 웨이퍼 표면이 용해(제거)된다. 세척 조건들(시간, 온도, 용액 조성)을 조심스럽게 감시하지 않으면, 기판들이 손상을 입을 수 있다(Rafols, C. et al. J. Electroanalytic Chem. 433. pp. 77-83, 1997). 다수의 조성물들은 물과 유기 용매를 겸비한다. 이들 I-IF 용액 중의 수 농도는 매우 중요하다. 실리카 옥사이드는 HF/수에서 21A/분(@25 ℃)의 식각률을 가지나, 이소부탄올 중에서는 식각률이 2.14A/분으로 감소되고 아세톤(비양성자성 용매)에서는 훨씬 더 낮아져서 단지 0.12A/분으로 되었다(NSF/SRC Eng. Res. Center, Environmentally Benign Semiconductor Manufacturing, Aug. 5-7, 1998, Stanford University).
프런트 엔드 오브 라인(FEOL) 세척 공정 후에, 웨이퍼는 전형적인 반도체 장치용 제작 공정인 백 엔드 오브 라인(BEOL)으로 진행하고, 여기에서 상기 장치는 동적인 랜덤 액세스 메모리(DRAM), 정적인 랜덤 액세스 메모리(SRAM), 로직, 전기적으로 프로그램 가능한 리드 온리 메모리(EPROM), 상보적인 메탈 온 실리콘(CMOS) 등으로 될 수 있다. 화학 반응(액체 또는 플라즈마)을 사용하는 식각 제작 기술은 상기와 같은 반도체 기판들 상에 배선 구조를 형성시키는 방법으로서 사용되어 왔다.
포토레지스트 필름을 웨이퍼 상에 증착시켜 마스크를 형성시키고, 이어서 기판 디자인을 필름 층상에 모사하고, 소성시키고, 현상되지 않은 상은 포토레지스트 세척제로 제거한다. 이어서 남은 상을 플라즈마 에너지로 촉진되는 반응성 식각 기체로 하부 물질(유전체 또는 금속)로 옮긴다. 상기 식각 기체는 기판의 보호되지 않은 영역을 선택적으로 공격한다. 대개 플루오라이드 화학물질을 함유하는 액체 식각 화학물질이 수년에 걸쳐 금속(Al) 및 유전체의 식각에 광범위하게 사용되어 왔다. 상기 플루오라이드 화학물질은 매우 공격적일 수 있으며 등방성 식각(모든 방향으로 균등한 식각)을 생성시킬 수 있다. 통계적인 공정 조절 기법들을 통해 등방성 식각을 조절하고자 시도하였지만, 상기 등방성 식각 효과는 치밀하고 결정적인 치수 조절을 필요로 하는 오늘날의 요구에 허용될 수 없다(Taylor, D., Solid State Technology, July 1998, p. 119).
통상적인 플라즈마 식각 공정은 이방성(단향성) 식각을 수반하는 동시에 부산물들(포토레지스트, 식각 기체 및 식각된 물질들)이 잔사로서 식각된 통로들의 측벽상에 침착된다.
이러한 보호 측벽 침착물의 형성과 관련한 단점은 식각 과정 후에 상기 잔사를 제거하기가 매우 어려울 수 있다는 것이다. 이들 잔사 중의 성분들이 일부의 방식으로 제거되거나 중화되지 않는 경우, 상기 잔사들은 수분을 흡수하고 금속 구조물을 부식시킬 수 있는 산성 종들을 형성시킬 것이다. 생성된 산은 배선 물질을 부식시켜 전기 저항의 증가 및 배선 단락과 같은 부작용을 발생시킨다. 이러한 문제들은 특히 배선 물질로서 일반적으로 사용되는 알루미늄 및 알루미늄 합금에서 빈번히 발생한다. 웨이퍼 기판은 통제되지 않는다면 산성 물질과 접촉하여 금속 구조물을 파괴할 수 있다.
식각 공정의 완료에 이어서, 마무리 공정이 허용되도록 레지스트 마스크를 보호 표면으로부터 제거할 필요가 있다. 코팅된 무기 기판으로부터 금속 회로 소자의 부식, 용해 또는 무뎌짐 없이 또는 웨이퍼 기판을 화학적으로 변경시키지 않으면서 유기 중합체성 물질을 제거하는 개선된 세척 조성물을 개발하는 것이 바람직하다.
포토레지스트 코팅제(아직 제거되지 않은 것) 및 다른 기판들의 제거에 사용되는 세척 조성물은 대부분 매우 인화성이며, 일반적으로 인체와 환경 모두에 위험하고, 바람직하지 않은 정도의 독성을 나타내는 반응성 용매 혼합물을 포함한다. 더욱 또한, 이들 세척 조성물은 독성일 뿐만 아니라 유해 쓰레기로서 폐기될 수도 있으므로 폐기 비용이 많이 든다. 또한, 상기 조성물은 일반적으로 대단히 제한된 욕 수명을 가지며, 대부분 재생 또는 재 사용 가능하지가 않다.
측벽 잔사들을 산성 유기 용매 또는 알칼리성 유기 용매로 제거해 왔다.산성 용매는 일반적으로 페놀 화합물 또는 클로로-용매 및/또는 방향족 탄화수소 및/또는 알킬벤젠설폰산을 포함한다. 이들 배합물은 일반적으로 실온 내지 100 ℃에서 사용해야 할 필요가 있다. 이들 화학물질은 통상적으로 이소프로판올로 세정할 필요가 있다.
희 불화수소산 용액은 몇몇 조건 하에서 유전체의 연결 영역 측벽을 공격적으로 공격하고 따라서 상기 장치의 치수(Ireland, P., Thin Solid Films, 304, pp. 1-12(1997)) 및 추정 상 유전 상수를 변화시킴으로써 상기 측벽 중합체를 제거할 수 있다. HF, 질산, 물 및 하이드록실아민을 함유하는 선행의 화학물질들은 실리콘을 식각시키기에 충분히 공격적이다(에이 뮬러(A. Muller)에게 허여된 미국 특허 제 3,592,773 호). 또한 최근의 정보는 상기 희 HF 용액이 보다 새로운 CF∼ 식각 잔사의 세척에 비효율적일 수 있음을 지적한다(K. Ueno et al., "Cleaning of CHF3Plasma-Etched SiO2/SiN/Cu Via Structures with Dilute Hydrofluoric Acid Solutions", J. Electrochem. Soc., vol. 144(7)1997). TiSi2상으로 개방된 접촉 통로들도 또한 하부의 TiSi2층의 공격이 있을 듯 하므로 HF 용액으로 세척하기 어려웠다. 또한 좁은 친수성 접촉 통로들에서의 화학물질의 다량 수송이 어려울 수도 있다(Baklanov, M.R. et al., Proc. Electrochem. Soc., 1998, 97-35, pp. 602-609).
단계 적용의 양호한 일치성을 위해 초 대규모 집적(ULSI) 구조물에 흔히 사용되는 통상적인 층간 유전체, TEOS(테트라에틸오르토실리케이트) 및 붕소 포스포실리케이트 유리(BPSG)의 접촉 통로 부근의 포토레지스트는 대개 HF 용액으로 제거한다. HF가 유전 물질을 공격하는 것은 통상적이지 않다(Lee, C. and Lee, 5, Solid State Electronics, 4, pp. 92 1-923(1997)).
식각 후 잔사의 제거를 위한 알칼리성 유기 용매는 아민 및/또는 알칸올아민 및/또는 중성 유기 용매를 포함할 수 있다. 이들 배합물은 일반적으로 실온 내지 100 ℃에서 사용되어야 한다. 최근에 새로운 부류의 식각 후 잔사 세척 화학물질이 상기 기판의 세척에 사용되었다. 이러한 화학물질에는 하이드록실아민, 아민, 알칸올아민 및 부식 억제제가 포함되며, 이들은 일반적으로 20 내지 30 ℃ 이하의 온도에서 작용한다.
최근에, 플루오라이드-기재 화학물질이 제한된 경우로 식각 후 잔사, 및 BEOL(백 엔드 오브 라인) 공정 중에 집적 회로 기판으로부터의 포토레지스트 잔사의 제거에 제한된 정도로 사용되었다. 다수의 웨이퍼 세척 조성물은 플루오라이드 성분들, 특히 불화 수소를 함유한다. 또한 이들 조성물은 강한 부식성 화학물질들(콜린-유도체, 테트라알킬 암모늄 하이드록사이드, 암모늄 하이드록사이드), 예를 들어 미국 특허 제 5,129,955; 5,563,119; 또는 5,571,447 호에 개시된 것들을 함유하거나, 또는 2 상 용매 시스템[하나의 상은 불화수소산과 물을 함유하고, 두 번째 상은 비극성 유기 용매(케톤, 에테르, 알칸 또는 알켄)를 함유한다](미국 특허 제 5,603,849 호)을 사용할 수도 있다. 다른 배합물은 하이드록실아민 및 암모늄 플루오라이드를 포함한다(와드(Ward)에게 허여된 미국 특허 제 5,709,756 호). 추가의 예로 4 급 암모늄 염과 플루오라이드 기재 조성물(공개된 유럽 출원 제 0662705 호에 개시되어 있음), 및 유기카복실 암모늄 염 또는 아민 카복실레이트와 플루오라이드 기재 조성물(미국 특허 제 5,630,904 호에 개시되어 있음)이 있다.
몇몇 화학물질들은 웨이퍼 표면으로부터 이온성 및 음이온성 오염을 제거하는데 일조하는 킬레이트제를 또한 포함하였으나(PCT US98/02794), 시트르산, 갈산 및 특히 카테콜과 같은 킬레이트제는 Al 금속 라인을 덮고 있는 알루미늄 옥사이드에 대해 공격적일 수 있다. 오만과 스죠버그(Ohman and Sjoberg)의 연구는 시트르산 이온의 강한 착화 능력이 알루미늄 옥사이드 용해도를 증가시킬 수 있으며 이에 의해 상기 금속이 pH 5 및 6에서 166 및 468 인자까지 추가의 부식에 노출될 수 있음을 보였다(Ohman et al., J. Chem. Soc., Dalton Trans.(1983), p. 2513).
웨이퍼 상의 금속 및 금속 옥사이드 잔사의 세척을 위한 다른 방법은 수증기를 플라즈마 감광액 제거 챔버에 분무한 다음 불소 함유 기체(불화수소산)(미국 특허 제 5,181,985), 또는 불화수소산, 암모늄 플루오라이드 및 수 함유 액체(pH 1.5 내지 7)를 도입시킴을 포함한다.
또한 BEOL 공정 동안 웨이퍼 표면으로부터 미립자 잔사를 제거할 필요가 있다. 현재 대부분의 공정들은 초음파 또는 메가소닉 세척과 함께 또는 상기 없이 이소프로판올 및/또는 DI 수 세정에 의존한다. 후 화학 기계적 연마(CMP)를 위해서, 옥사이드 웨이퍼의 세척에 통상적으로 단지 DI 수만을 사용하나, 텅스텐 웨이퍼에 대해서는 대개 희 NH4OH와 희 HF의 배합물이 필요하다.
웨이퍼 표면으로부터 불순물(입자 및/또는 이온)을 제거하는데 5 개의 기전들이 존재한다:
1. 용매에 의한 물리적 탈착으로, 소수의 강하게 흡수된 입자들을 다량의 약하게 흡착된 용매로 대체시킴을 포함한다(표면 전하의 상호작용 변화).
2. 산 또는 염기에 의한 표면 전하의 변화, 즉 Si-OH 그룹을 양성으로 만들거나 산으로 양자화시키거나, 또는 양자를 제거함으로써 염기로 음성으로 만들 수 있다.
3. 산 첨가에 의한 흡착된 금속 이온의 제거에 의한 이온 착화(즉, 이온 교환).
4. 불순물의 산화 또는 분해로, 금속, 유기 금속 또는 슬러리 입자 표면의 산화를 포함하며, 불순물과 기판 표면간의 화학적 작용력을 변화시킬 것이다. 상기 화학 반응은 산화환원 화학 또는 유리 라디칼을 통한 것일 수 있다.
5. 옥사이드 표면의 식각으로, 일정 두께의 기판 표면을 용해시키면서 불순물을 방출시킨다.
현재 입수할 수 있는 플루오라이드-기재 화학물질은 상기 2 및 5 번 항목에 일조할 수 있으나, 세척 조건들을 조심스럽게 조절해야 한다. 많은 경우에, 세척 조성물의 성분들은 비교적 독성 반응성 용매 혼합물이며 따라서 엄격한 사용 조건을 가해야 하고, 위험한 화학물질 취급 절차를 요하며 사용자는 상기 세척 조성물과의 접촉을 피하기 위해서 안전복을 착용해야 한다. 또한, 상기와 같은 세척 조성물의 다수의 독성 성분들은 매우 휘발성이고 높은 휘발률을 갖기 때문에,상기 조성물의 보관 및 사용 중에 특별한 인체 및 환경 안전 예방조치가 취해져야 한다.
따라서, 광범위하게 다양한 기판들로부터 각종 침착물들을 효율적으로 세척하기 위한 개선된 세척 조성물을 개발할 필요가 있다. 특히, 집적 회로 제작 분야에서, 세척할 기판에 대한 공격을 피하면서 개선된 세척 성능에 대한 요구가 꾸준하게 증가하고 있음을 인식해야 한다. 이는 덜 정교한 집적 회로 기판의 세척에 적합한 조성물이 제작 공정에서 보다 진보된 집적 회로를 함유하는 기판에 대해 만족스러운 결과를 생성시킬 수 없음을 의미한다.
상기 조성물은 또한 경제적이고, 환경 친화적이어야 하며 사용하기 쉬어야 한다.
본 발명은 상기와 같은 신규의 개선된 세척 조성물과 그의 사용 방법을 교시한다. 상기 조성물은 수성이며, 유기 및 무기 물질들을 모두 용해시키고, 공정에서 사용 시 다양한 기판들을 세척할 수 있다. 상기 조성물은 보다 효과적인 기판 세척을 제공하며, 이는 보다 효과적인 잔사 제거를 의미하고, 이는 차례로 기판 세척으로부터 보다 높은 제품 수율을 얻을 수 있음을 의미한다.
발명의 요약
본 발명의 신규 세척 조성물은 개별적인 성분들, 또는 다른 세척 성분들과 배합된 성분들, 또는 에톡시에탄올아민 또는 알킬아미드와 같은 다른 세척 성분들과 배합된 성분들을 사용하여 가능하지 않은, 저온에서 상승적으로 향상된 세척 작용과 세척 능력을 나타낸다.
본 발명의 일반적인 목적은 저온에서 유효한 반도체 기판 세척 조성물을 제공하는 것이다.
본 발명의 추가의 목적은 금속 이온의 재 침착을 억제하는 식각 후 잔사 세척 조성물을 제공하는 것이다.
본 발명의 추가의 목적은 인화성이 없는 상기와 같은 세척 조성물을 제조하는 것이다.
본 발명의 추가의 목적은 실리콘 옥사이드의 식각률이 낮은 상기와 같은 세척 용액을 제공하는 것이다.
본 발명의 추가의 목적은 금속 구조물로부터 식각 후 잔사를 제거하는 상기와 같은 세척 용액 및 방법을 제공하는 것이다.
본 발명의 추가의 목적은 연결 통로들로부터 식각 후 잔사를 제거하는 상기와 같은 세척 용액 및 방법을 제공하는 것이다.
본 발명의 추가의 목적은 저 k 유전체로부터 식각 후 잔사를 제거하는 상기와 같은 세척 용액 및 방법을 제공하는 것이다.
상기 및 관련 목적들은 본 원에 개시된 조성물과 방법을 사용하여 획득된다.
본 발명에 따른 조성물은 기판으로부터 잔사를 세척하기 위한 것으로, 유기암모늄과 아민 카복실레이트가 없다. 상기는 약 0.01 내지 약 10 중량%의 하나 이상의 플루오라이드 화합물, 약 20 내지 약 50 중량%의 물, 약 20 내지 약 80 중량%의 락탐 용매 및 0 내지 약 50 중량%의 유기 설폭사이드 또는 글리콜 용매를 포함한다. 상기 조성물의 pH는 약 2 내지 약 10이다. 또한, 상기 조성물은 임의로 부식 억제제, 킬레이트제, 계면활성제, 산 및 염기를 함유한다.
본 발명에 따라 기판으로부터 잔사를 세척하는 방법은 기판을 하나 이상의 플루오라이드 화합물, 물 및 락탐 용매를 포함하는 유기암모늄 및 아민 카복실레이트 비 함유 조성물과 상기 기판의 세척에 충분한 시간 및 온도에서 접촉시킴을 포함한다.
본 발명은 반수성 세척 조성물 및 그의 사용 방법에 관한 것이다. 상기 용액은 유기암모늄 화합물과 아민 카복실레이트 화합물이 없으며, 플루오라이드 화합물, 물 및 용매를 함유하고, 임의로 부식 억제제, 킬레이트제, 계면활성제, 산 및 염기를 함유한다.
도 1 및 2는 조성물의 함수로서 본 발명의 실시로 성취되는 세척 결과를 표로 작성한 삼각형 도표이다.
도 3 및 4는 조성물의 함수로서 본 발명의 실시로 성취되는 부식 결과를 표로 작성한 삼각형 도표이다.
본 발명의 세척 조성물은 유기암모늄과 아민 카복실레이트가 없다. 상기 조성물로부터 유기암모늄과 아민 카복실레이트 화합물을 배제시킬 수 있음으로써 다수의 이점들이 성취될 수 있다. 이들 화합물의 배제는 제품의 비용을 감소시킨다. 유기암모늄과 아민 카복실레이트 화합물은 또한 상 전달 촉매라 지칭되는 화합물 군이다. 상기와 같은 화합물들은 특정한 용매 조건 하에서 바람직하지 못한 부 반응들, 즉 하이드록사이드 또는 할라이드 반응(금속 표면을 부식시킬수 있다)의 활성화를 가속화시킬 수 있다("Phase-Transfer Catalysis in Industry", A Practical Guide and Handbook; Mar. 1991, PTC Interface, Inc. Marietta, GA). 상기 화학에서 이들 화합물의 존재는 또한 원료 물질 공급원으로서 추가의 양이온 및 음이온 오염을 도입시킬 수 있다.
세척 조성물은 하나 이상의 플루오라이드 화합물을 함유한다. 적합한 플루오라이드 화합물은 암모늄 플루오라이드, 암모늄 비플루오라이드 및 불화 수소이다. 바람직한 플루오라이드 화합물은 암모늄 플루오라이드 및 암모늄 비플루오라이드이다. 불화 수소를 사용하는 경우, pH를 약 2 내지 약 10으로 만들기 위해 완충액이 필요할 수 있다. 상기 플루오라이드 화합물은 바람직하게는 약 0.01 내지 약 10 중량%, 보다 바람직하게는 약 0.05 내지 약 5 중량%의 양으로 존재한다. 일반적으로는, 조성물 중에 상기 플루오라이드 화합물의 농도가 낮을수록 높은 사용 온도가 필요하다.
상기 세척 조성물은 하나 이상의 락탐 용매를 함유한다. 적합한 락탐 용매는 탄소수 1 내지 5의 알킬 및 알콕시 치환된 락탐을 포함한 4 내지 7원 고리의 락탐 및 알칸 치환된 5 내지 7원 고리의 락탐을 포함한다. 적합한 락탐 용매의 구체적인 예로는 피페리돈, 예를 들어 탄소수 1 내지 5의 알킬, 디알킬 및 알콕시, 디알콕시 피페리디돈, 예를 들어 N-메틸 피페리돈, 디메틸 피페리돈, N-메톡시 피페리돈, 디메톡시 피페리돈, N-에틸 피페리돈, 디에틸피페리돈, 디에톡시 피페리돈 등; 이들 피페리돈의 사이클로헥실 동족체, 예를 들어 N-메틸 피롤리돈, N-2(하이드록시에틸)-2-피롤리돈, N2(사이클로헥실)-2-피롤리돈 등이 있다. 바람직한락탐 용매는 N-메틸 피페리돈, 디메틸 피페리돈 및 N-메틸 피롤리돈이다. 디메틸 피페리돈을 우세한 1,3 디메틸 피페리돈과 소량의 1,5 디메틸 피페리돈의 혼합물로서 상업적으로 입수할 수 있다. 락탐 용매를 단독으로 또는 혼합물로서 사용할 수 있다. 상기 조성물은 임의로 알킬 설폭사이드, 예를 들어 디메틸 설폭사이드 및/또는 글리콜, 예를 들어 프로필렌 글리콜을 함유한다.
상기 세척 조성물은 물을 함유한다. 전형적으로는 고순도의 탈이온수가 사용된다.
상기 조성물은 임의로 부식 억제제를 함유한다. 적합한 부식 억제제로는 무기 니트레이트 염, 예를 들어 암모늄, 칼륨, 나트륨 및 루비듐 니트레이트 염, 알루미늄 니트레이트 및 아연 니트레이트가 있다.
상기 조성물은 임의로 킬레이트제를 함유한다. 적합한 킬레이트제들은 1997년 9월 30일자로 리(Lee)에게 허여된 통상적으로 양도된 미국 특허 제 5,672,577 호(본 발명에 참고로 인용되어 있다)에 개시되어 있다. 바람직한 킬레이트제에는 카테콜, 에틸렌디아민테트라아세트산, 시트르산, 펜탄디온 및 펜타디온 디옥심이 포함된다.
상기 조성물은 임의로 계면활성제를 함유한다. 적합한 계면활성제로는 폴리(비닐 알콜), 폴리(에틸렌이민), 및 음이온, 양이온, 비이온, 양쪽성 및 실리콘계로서 분류되는 계면활성제 조성물들 중 임의의 것이 있다. 바람직한 계면활성제는 폴리(비닐 알콜) 및 폴리(에틸렌이민)이다.
성분들의 일부 배합물은 pH를 허용가능한 값으로 조절하기 위해서 산 및/또는 염기를 가할 것을 필요로 한다. 본 발명에 사용하기 적합한 산은 유기 및 무기산이다. 상기 산에는 질산, 황산, 인산, 염산(염산은 금속을 부식시킬 수 있다) 및 유기 산, 포름산, 아세트산, 프로피온산, n-부티르산, 이소부티르산, 벤조산, 아스코르브산, 글루콘산, 말산, 말론산, 옥살산, 숙신산, 타르타르산, 시트르산, 갈산이 있을 수 있다. 최종 5 개의 유기 산들은 킬레이트제의 예이다.
유기산에 대한 화학식
상기 식에서,
X= -OH, -NHR, -H, -할로겐, -CO2H 및 -CH2-CO2H, -CHOH-CO2H,
R= 일반적으로 지방족, H 또는 방향족.
상기 산의 농도는 약 1 내지 약 25 중량%로 가변적일 수 있다. 중요한 인자는 수용액 중의 임의의 추가의 시약과의 산 및 염기 생성물의 용해도이다.
세척 용액의 pH 조절에 사용하기 적합한 부식 성분은 임의의 통상적인 염기, 즉 나트륨, 칼륨, 마그네슘 하이드록사이드 등을 포함할 수 있다. 주요 문제는 상기 염기들이 이동성 이온을 최종 배합물에 도입시킨다는 것이다. 이동성 이온은 현재 반도체 산업에서 생산되는 컴퓨터 칩을 파괴할 수 있다. 다른 염기들로는 콜린(4급 아민) 또는 암모늄 하이드록사이드가 있을 수 있다.
실시:
본 발명의 세척 조성물을 사용하여 기판을 세척하는 방법은 표면에 잔사, 특히 유기금속 또는 금속 옥사이드 잔사가 있는 기판을 본 발명의 세척 조성물과 상기 잔사를 제거하기에 충분한 시간 및 온도에서 접촉시킴을 포함한다. 임의로 당해 분야에 공지된 교반, 순환, 초음파 처리 또는 기타의 기법들을 사용할 수 있다. 기판을 일반적으로는 상기 세척 조성물 중에 침지시킨다. 상기 시간 및 온도는 기판으로부터 제거되는 특정 물질을 기준으로 결정된다. 일반적으로는, 온도는 약 주변 또는 실온 내지 100 ℃의 범위이고, 접촉 시간은 약 30 초 내지 60 분이다. 본 발명의 접촉에 바람직한 온도와 시간은 20 내지 45 ℃에서 2 내지 60 분이다. 일반적으로는 기판을 상기 조성물 사용 후에 세정할 것이다. 바람직한 세정액은 이소프로판올 및 DI 수이다.
본 발명의 조성물은 금속 및 연결 통로 특징물로부터 잔사를 제거하기에 특히 유용하다.
본 발명의 조성물은 저-k 유전체에 대해서 특히 유용하다. 저-k 유전체는 당해 분야에 공지되어 있으며, 여기에는 불소화된 실리케이트 유리(FSG), 하이드리도 유기 실록산 중합체(HOSP), 저 유기 실록산 중합체(LOSP), 나노다공성 실리카(나노유리), 수소 실세스퀴녹산(HSQ), 메틸 실세스퀴녹산(MSQ), 디비닐실록산 비스(벤조사이클로부텐)(BCB), 실리카 저-k(SiLK), 폴리(아릴렌 에테르)(PAE, 플레어, 파릴렌), 및 불소화된 폴리이미드(FPI)가 포함된다.
기판으로부터 레지스트 마스크 또는 잔사를 제거하기에 적합한 본 발명에 따른 세척 조성물 및 방법의 예를 하기 실시예에 나타낸다.
실시예 1.암모늄 플루오라이드, 물, 및 디메틸 피페리돈, 아세토니트릴 및 하이드록실아민 중 하나의 성분들을 함유하는 세척 화학물질 그룹을 상업적으로 입수할 수 있는 AMT DPS 식각기에서 Cl2/BCl3로 식각한 TEOS/Ti/TiN/AlCu/TiN(기부에서부터 상단까지)의 스택을 갖는 금속 웨이퍼에 대해 시험하였다. 잔사가 있는 생성된 금속 웨이퍼를 작은 시편들로 절단하고, 이어서 상기 시편들을 실온에서 5 분간 세척을 위해 표 1의 화학 용액에 침지시켰다. 시편들을 꺼내고 탈이온수로 세정하고 N2흐름 하에서 건조시켰다. 세척 및 부식 효과를 평가하기 위해서 히타치(Hitachi) 4500 FE-SEM을 사용하여 SEM을 수행하였다. 금속 스택에 대한 잔사 제거 및 부식 효과를 가시적인 비교로 평가하고 모두 1에서 10의 등급으로 나타내었다. 표 1의 배합물은 중량%로 나타낸다.
상기 결과는 DMP 함유 배합물이 알루미늄 상에 약한 공격 형태의 최소한의 부식과 최상의 세척 성능의 조합을 제공하는 것으로 나타났다. 이를 근거로, DMP를 향상된 배합물을 제공하는 추가의 연구를 위해 선택하였다.
실시예 2.실시예 1의 결과를 기본으로, 저 알루미늄 플루오라이드 농도를 갖는 배합물을 암모늄 플루오라이드와 물 및 DMP의 세척 조성물에서의 상기 암모늄 플루오라이드에 대한 보다 낮은 한계를 측정하기 위해 평가하였다. Cl2/BCl3플라즈마를 사용하는 상업적으로 입수할 수 있는 LAM TCP9600 식각기를 옥사이드/Ti/TiN/AlCu/TiN(기부에서부터 상단으로)의 스택을 갖는 금속 웨이퍼의 식각에 사용하였다. 잔사가 있는 생성된 금속 웨이퍼를 작은 시편들로 절단하고, 이어서 상기 시편들을 실온에서 5 분간 세척을 위해 표 2의 세척 용액에 침지시켰다. 시편들을 꺼내고 탈이온수로 세정하고 N2흐름 하에서 건조시켰다.세척 및 부식 효과를 평가하기 위해서 히타치 4500 FE-SEM을 사용하여 SEM을 수행하였다. 금속 스택에 대한 잔사 제거 및 부식 효과를 가시적인 비교로 평가하고 모두 1에서 10의 등급으로 나타내었다. 표 2의 배합물은 중량%로 나타낸다.
상기 결과는 약 0.1 중량% 정도로 적은 암모늄 플루오라이드를 함유하는 조성물에 의해 부식 없이 상당한 세척 결과가 얻어짐을 보인다. 배합물 중의 0.2 중량%의 암모늄 플루오라이드 농도에 의해 보다 단시간에 보다 양호한 세척 결과가 얻어진다.
실시예 3.0.3 중량% 또는 0.1 중량%의 암모늄 플루오라이드를 함유하는 배합물과 추가의 용매로서 첨가된 프로필렌 글리콜을 사용하여 실시예 2의 과정을 반복하였다. 결과를 표 3 및 4에 나타낸다.
표 3 및 4에 나타낸 배합물에 의해 수득된 결과를 이해하기 위해서, 데이터를 도 1 내지 4의 4 개의 삼각형 도표로 작성하였으며, 상기 도면들은 각각 저 플루오라이드 및 고 플루오라이드 용액 중의 물, DMP 및 PG 농도의 함수로서 잔사 세척 성능, 및 저 플루오라이드 및 고 플루오라이드 용액 중의 물, DMP 및 PG 농도의 함수로서 알루미늄 부식을 나타낸다. 도 1과 2의 비교는 높은 수 및 높은 DMP 농도로 만족할만한 세척 결과를 얻는데 보다 높은 플루오라이드 농도가 때때로 필요함을 나타낸다. 도 3 및 4는 물에 비해 높은 DMP 농도는 최소의 부식을 일으킴을 나타낸다.
실시예 4.상기 실험의 결과로서, 특히 바람직한 조성물은 40%의 수중 암모늄 플루오라이드 0.75 중량%, DMSO(디메틸 설폭사이드) 17 중량%, DMP(디메틸 피페리돈) 57.25 중량% 및 DI 수 25 중량%인 것으로 결정되었다. 실시예 2에 따른 추가의 실험은 표 3 및 4에 나타낸 기판 물질들 모두에 대해서 10의 부식 수와 10의 세척 수를 제공하였다.
하기 표 5는 다양한 pH 값 및 다양한 본 발명에 따른 조성물에서의 부식 및 세척 결과를 나타낸다. 도 5에 나타낸 pH 값들은 유리 pH 전극이 있는 오리온(Orion) SA520 미터를 사용하여 측정하였다. 표 5는 광범위한 pH 값 전체를 통한 본 발명에 따른 조성물의 유효성을 입증한다.
다른 불소 화합물, 락탐 용매, 유기 설폭사이드 및 다른 글리콜들의 대용은 유사한 이로운 결과를 제공한다.
당해 분야의 숙련가는 상기 실시예들로부터 생산 공정 고유 조건의 변화에 따라 상기 세척 용액에 대해 변경 및 변화를 수행할 수 있음을 인지할 것이다.상기 실시태양들은 예로서 제공된다. 예를 들어, 유전체의 보존에 대한 구체적인 논의는 제안된 세척 용액 및 방법에 의해 보호되는 다른 금속, 금속 합금 및 폴리실리콘 구조물을 암시한다. 실시예는 본 발명을 제한하지 않으며, 본 발명은 하기의 청구의 범위에 의해서 한정된다.
본 명세서에 언급된 모든 공보 및 특허 출원들은 이들이 각각 참고로 인용되었음을 구체적이고 개별적으로 나타낸 바와 동일한 정도로 본 발명에 참고로 인용된다.
이제 본 발명을 충분히 개시하였지만, 본 발명에 대한 다수의 변화 및 변경들을 첨부된 청구의 범위 또는 그의 진의로부터 이탈됨 없이 수행할 수 있음은 당해 분야의 통상의 숙련가에게 자명할 것이다.

Claims (24)

  1. 약 0.01 내지 약 10 중량%의 하나 이상의 플루오라이드 화합물, 약 20 내지 약 50 중량%의 물, 약 20 내지 약 80 중량%의 락탐 용매 및 0 내지 약 50 중량%의 유기 설폭사이드 또는 글리콜 용매를 포함하며 pH가 약 2 내지 약 10인, 기판으로부터 잔사를 세척하기 위한 유기암모늄 및 아민 카복실레이트 비 함유 조성물.
  2. 제 1 항에 있어서, 락탐 용매가 4 내지 7원 고리를 갖는 락탐이며, 탄소수 1 내지 5의 알킬 또는 알콕시 치환된 락탐 또는 5 내지 7원 고리의 알칸 치환된 락탐인 조성물.
  3. 제 2 항에 있어서, 락탐이 피페리돈인 조성물.
  4. 제 3 항에 있어서, 피페리돈이 탄소수 1 내지 5의 알킬, 디알킬 알콕시 또는 디알콕시 피페리돈인 조성물.
  5. 제 4 항에 있어서, 피페리돈이 N-메틸 피페리돈, 디메틸 피페리돈, N-메톡시 피페리돈, 디메톡시 피페리돈, N-에틸 피페리돈, 디에틸피페리돈 또는 디에톡시 피페리돈인 조성물.
  6. 제 2 항에 있어서, 락탐이 피롤리돈인 조성물.
  7. 제 6 항에 있어서, 피롤리돈이 N-2-(하이드록시에틸)-2-피롤리돈 또는 N-2(사이클로헥실)-2-피롤리돈인 조성물.
  8. 제 2 항에 있어서, 락탐이 N-메틸 피페리돈, 디메틸 피페리돈 또는 N-메틸 피롤리돈인 조성물.
  9. 제 8 항에 있어서, 락탐이 디메틸 피페리돈인 조성물.
  10. 제 8 항에 있어서, 플루오라이드 화합물이 암모늄 플루오라이드, 암모늄 비플루오라이드 또는 불화 수소인 조성물.
  11. 제 1 항에 있어서, 플루오라이드 화합물이 암모늄 플루오라이드, 암모늄 비플루오라이드 또는 불화 수소인 조성물.
  12. 제 1 항에 있어서, 부식 억제제를 또한 포함하는 조성물.
  13. 제 1 항에 있어서, 킬레이트제를 또한 포함하는 조성물.
  14. 제 1 항에 있어서, 계면활성제를 또한 포함하는 조성물.
  15. 제 1 항에 있어서, 산을 또한 포함하는 조성물.
  16. 제 1 항에 있어서, 염기를 또한 포함하는 조성물.
  17. 제 1 항에 있어서, 암모늄 플루오라이드, 물 및 디메틸 피페리돈을 포함하는 조성물.
  18. 제 17 항에 있어서, 디메틸 설폭사이드 또는 프로필렌 글리콜을 또한 포함하는 조성물.
  19. 기판을 하나 이상의 플루오라이드 화합물, 물 및 락탐 용매를 포함하는 유기암모늄 및 아민 카복실레이트 비 함유 조성물과 상기 기판의 세척에 충분한 시간 및 온도에서 접촉시킴을 포함하는, 상기 기판으로부터 잔사를 세척하는 방법.
  20. 제 15 항에 있어서, 온도가 약 20 내지 약 100 ℃인 방법.
  21. 제 16 항에 있어서, 온도가 약 20 내지 약 45 ℃인 방법.
  22. 제 16 항에 있어서, 시간이 약 3 분 내지 약 10 분인 방법.
  23. 제 15 항에 있어서, 조성물이 약 0.01 내지 약 10 중량%의 하나 이상의 플루오라이드 화합물, 약 20 내지 약 50 중량%의 물 및 약 20 내지 약 80 중량%의 락탐 용매를 포함하며, 상기 조성물의 pH가 약 2 내지 약 10인 방법.
  24. 제 19 항에 있어서, 조성물이 약 50 중량% 이하의 유기 설폭사이드 또는 글리콜 용매를 또한 포함하는 방법.
KR1020027000653A 1999-07-16 2000-07-17 반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을위한 락탐 조성물 KR20020031159A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/354,834 1999-07-16
US09/354,834 US6235693B1 (en) 1999-07-16 1999-07-16 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
PCT/US2000/019333 WO2001005524A1 (en) 1999-07-16 2000-07-17 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices

Publications (1)

Publication Number Publication Date
KR20020031159A true KR20020031159A (ko) 2002-04-26

Family

ID=23395085

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027000653A KR20020031159A (ko) 1999-07-16 2000-07-17 반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을위한 락탐 조성물

Country Status (10)

Country Link
US (1) US6235693B1 (ko)
EP (1) EP1212150B1 (ko)
JP (1) JP2003507901A (ko)
KR (1) KR20020031159A (ko)
AT (1) ATE421905T1 (ko)
AU (1) AU6348600A (ko)
DE (1) DE60041497D1 (ko)
HK (1) HK1047063A1 (ko)
TW (2) TWI233942B (ko)
WO (1) WO2001005524A1 (ko)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6472335B1 (en) * 1998-10-19 2002-10-29 Taiwan Semiconductor Manufacturing Company Methods of adhesion promoter between low-K layer and underlying insulating layer
JP4516176B2 (ja) * 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7456140B2 (en) 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
AU2001278890A1 (en) * 2000-07-10 2002-01-21 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6656894B2 (en) * 2000-12-07 2003-12-02 Ashland Inc. Method for cleaning etcher parts
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
JP4314320B2 (ja) * 2002-04-10 2009-08-12 三菱電機株式会社 化合物半導体装置の製造方法
JP2003332465A (ja) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp 半導体メモリデバイスの製造方法
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
US7718590B2 (en) * 2005-02-25 2010-05-18 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
CN101233456B (zh) * 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
JP4734090B2 (ja) * 2005-10-31 2011-07-27 株式会社東芝 半導体装置の製造方法
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7534753B2 (en) 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
KR100823714B1 (ko) * 2006-08-24 2008-04-21 삼성전자주식회사 폴리머 제거용 세정액 및 이를 이용한 폴리머 제거방법
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
WO2009011100A1 (ja) 2007-07-19 2009-01-22 Mitsubishi Chemical Corporation Iii族窒化物半導体基板およびその洗浄方法
EP2191041A4 (en) * 2007-09-06 2013-07-17 Ekc Technology Inc COMPOSITIONS AND PROCESS FOR TREATING COPPER SURFACE
KR20100082012A (ko) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
US8101525B2 (en) * 2009-02-13 2012-01-24 Applied Materials, Inc. Method for fabricating a semiconductor device having a lanthanum-family-based oxide layer
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US8951950B2 (en) * 2012-03-12 2015-02-10 Ekc Technology Aluminum post-etch residue removal with simultaneous surface passivation
EP3480288A1 (en) * 2017-11-07 2019-05-08 Henkel AG & Co. KGaA Fluoride based cleaning composition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5780406A (en) * 1996-09-06 1998-07-14 Honda; Kenji Non-corrosive cleaning composition for removing plasma etching residues
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤

Also Published As

Publication number Publication date
JP2003507901A (ja) 2003-02-25
TWI283705B (en) 2007-07-11
EP1212150A4 (en) 2003-04-02
EP1212150B1 (en) 2009-01-28
US6235693B1 (en) 2001-05-22
HK1047063A1 (zh) 2003-02-07
ATE421905T1 (de) 2009-02-15
AU6348600A (en) 2001-02-05
TW200521222A (en) 2005-07-01
TWI233942B (en) 2005-06-11
WO2001005524A1 (en) 2001-01-25
EP1212150A1 (en) 2002-06-12
DE60041497D1 (de) 2009-03-19

Similar Documents

Publication Publication Date Title
EP1212150B1 (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
KR100561178B1 (ko) 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물
KR100764888B1 (ko) 반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을위한 조성물
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
EP1381663B1 (en) Cleaning compositions
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20070149430A1 (en) Formulation for removal of photoresist, etch residue and BARC
KR102499429B1 (ko) 세정 제형
KR20070041350A (ko) 잔재물을 제거하기 위한 수성 세정 조성물 및 이것을사용하는 방법
JP5801594B2 (ja) 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US20050089489A1 (en) Composition for exfoliation agent effective in removing resist residues

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application