EP1212150A1 - Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices - Google Patents

Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices

Info

Publication number
EP1212150A1
EP1212150A1 EP00950373A EP00950373A EP1212150A1 EP 1212150 A1 EP1212150 A1 EP 1212150A1 EP 00950373 A EP00950373 A EP 00950373A EP 00950373 A EP00950373 A EP 00950373A EP 1212150 A1 EP1212150 A1 EP 1212150A1
Authority
EP
European Patent Office
Prior art keywords
composition
percent
weight
piperidone
lactam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP00950373A
Other languages
German (de)
French (fr)
Other versions
EP1212150B1 (en
EP1212150A4 (en
Inventor
Jun Cheng
Robert J. Small
Bakul P. Patel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Publication of EP1212150A1 publication Critical patent/EP1212150A1/en
Publication of EP1212150A4 publication Critical patent/EP1212150A4/en
Application granted granted Critical
Publication of EP1212150B1 publication Critical patent/EP1212150B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Definitions

  • This invention relates to a semiaqueous cleaning composition and processes for its use.
  • the solutions are organoammonium compound and amine carboxylate compound free and contain fluoride compounds, water, and solvent and optionally contain corrosion inhibitors, chelating agents, surfactants, acids and bases.
  • Fluoride containing chemistries have been used for many years to clean prime silicon wafers (wafers that have not yet undergone ion implantation or device construction) in the semiconductor industry.
  • the fluoride chemistry usually dilute hydrofluoric acid
  • the substrate is often contaminated from previous process steps with monolayer amounts of metal, anions and/or organic contaminants or surface residues (particles). These contaminants have been shown to have significant impact on the electrical integrity of simple test device structures and they need to be efficiently cleaned without impairing their integrity.
  • cleaning methods could include techniques discussed in the technical literature, for example, mt. Conf. On Solid State Devices and Materials, 1991, pp. 484-486 or Kujime, T. et al., Proc. of the 1996 Semi. Pure Water and Chemicals, pp. 245-256 and Singer, P. Semi. International, p. 88 , Oct. 1995.
  • Patents that teach methods for cleaning prime wafers with low pH solutions include US Patent 5,560,857 and 5,645,737; 5,181,985; 5,603,849; 5,705,089.
  • BEOL Back End of Line
  • the devices might be dynamic random access memories (DRAMs), static random access memories (SRAMs), logic, electrically programmable read only memories (EPROMs), complementary metal on silicon (CMOS), and the like.
  • DRAMs dynamic random access memories
  • SRAMs static random access memories
  • EPROMs electrically programmable read only memories
  • CMOS complementary metal on silicon
  • Etching fabrication technology using chemical reactions has been used as a method of forming a wiring structure on such semiconductor substrates.
  • a photoresist film is deposited on the wafer to form a mask, then a substrate design is imaged on the film layer, baked, and the undeveloped image is removed with a photoresist cleaner. The remaining image is then transferred to the underlying material (either a dielectric or metal) with reactive etching gases promoted with plasma energy.
  • the etchant gases selectively attack the unprotected area of the substrate.
  • Liquid etching chemistries usually containing fluoride chemistries have been used extensively over the years to etch metals (Al) and dielectrics. The fluoride chemistries can be very aggressive and can result in isotropic etching (etching equally in all directions). Isotropic etching effects cannot be tolerated with today's needs for tight critical dimension control, though there have been attempts to control the isotropic etch through statistical process control techniques, as reported by Taylor, D., Solid State Technology, July 1998, p. 119.
  • the usual plasma etching process involves anisotropic (unidirectional) etching while at the same time the byproducts (composed of photoresist, etching gasses and etched materials) are deposited on the sidewall of etched openings as residues.
  • a disadvantage of forming this protective sidewall deposit is that it can be very difficult to remove the residue after the etching procedure. If the components in these residues are not removed or neutralized in some manner then the residues will absorb moisture and form acidic species that can corrode the metal structures. The resultant acid corrodes wiring materials to bring about an adverse effect such as an increase in electrical resistance and wire disconnection. Such problems frequently occur, in particular in aluminum and aluminum alloys generally used as wiring material. The wafer substrate in contact with acidic materials, if not controlled, can destroy the metal structures.
  • Cleaning compositions used for removing photoresist coatings if not already ashed and other substrates have for the most part been highly flammable, generally hazardous to both humans and the environment, and comprise reactive solvent mixtures exhibiting an undesirable degree of toxicity. Moreover, these cleaning compositions are not only toxic, but their disposal is costly since they might have to be disposed of as a hazardous waste. In addition, these compositions generally have severely limited bath life and, for the most part, are not recyclable or reusable. Side wall residues have been removed with either acidic organic solvents or alkaline organic solvents.
  • the acidic solvents are generally composed of phenolic compounds or chloro-solvent and/or an aromatic hydrocarbon and/or alkylbenzenesulfonic acids.
  • the alkaline organic solvents for post etch residue removal can be composed of amines and/or alkanolamines and/or neutral organic solvents. These formulations generally must be used at temperatures above 100 C. Recently a new class of post etch residue cleaning chemistries has been used to clean these substrates. These chemistries include hydroxylamine, amines, alkanolamines and corrosion inhibitors and generally operate at temperatures 20 to 30 degrees lower.
  • fluoride-based chemistries have been used in limited cases to remove post etch residues and to a limited extent, photoresist residues from integrated circuit substrates during BEOL (Back End of Line) processes.
  • Many of the wafer cleaning compositions contain fluoride components, specifically hydrogen fluoride.
  • compositions might contain strong caustic chemicals (choline-derivatives, tetraalkyl ammonium hydroxide, ammonium hydroxide) such as disclosed in US Patent 5,129,955; US Patent 5,563,1 19; or US Patent 5,571,447, or might use a two-phase solvent system, which contains one phase with hydrofluoric acid and water while a second phase contains a nonpolar organic solvent (ketones, ethers, alkanes or alkenes) (US Patent 5,603,849).
  • Other formulations include hydroxylamine and ammonium fluoride (US Patent 5,709,756, issued to Ward).
  • Additional examples include quaternary ammonium salt and fluoride based compositions, as disclosed in published European Application 0662705, and organocarboxylic ammonium salt or amine carboxylate and fluoride based compositions, as disclosed in US Patent 5,630,904.
  • Some chemistries have also included chelating agents to help remove ionic and anionic contamination from the wafer surface (PCT US98/02794) but chelating agents such as citric acid, gallic acid, and catechol among others, can be aggressive toward the aluminum oxide that covers the Al metal lines. Studies by Ohman and Sjoberg show that the strong complexing ability of citric ions can increase the aluminum oxide solubility and thereby expose the metal to further corrosion, by factors of 166 and 468 at pH 5 and 6 (see Ohman et al, J. Chem. Soc, Dalton Trans. (1983), p. 2513).
  • the present invention teaches such a new and improved cleaning composition and a process for its use.
  • This composition is aqueous, dissolves both organic and inorganic substances, and, when used in the process, is able to clean a variety of substrates.
  • the composition provides a more effective cleaning of the substrates, which means more effective residue removal, which in turn means that higher product yields can be obtained from the substrates being cleaned.
  • novel cleaning compositions of the invention exhibit synergistically enhanced cleaning action and cleaning capabilities at low temperatures that are not possible from the use of the individual components, or the components in combination with other cleaning components, or the components in combination with other cleaning components such as ethoxyethanolamine or alkylamides. It is a general object of the invention to provide a semiconductor substrate cleaning composition that is effective at low temperatures.
  • a composition in accordance with this invention is for the cleaning of residues from substrates and is. organoammonium and amine carboxylate free. It comprises from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 20 percent by weight to about 50 percent by weight water, from about 20 percent by weight to about 80 percent by weight of a lactam solvent and from 0 to about 50 weight percent of an organic sulfoxide or glycol solvent.
  • the composition has a pH between about 2 and about 10. Additionally, the composition optionally contains corrosion inhibitors, chelating agents, surfactants, acids and bases.
  • a process for cleaning residue from a substrate in accordance with this invention comprises contacting the substrate with an organoammonium and amine carboxylate free composition comprising one or more fluoride compounds, water and lactam solvent at a temperature and for a time sufficient to clean the substrate.
  • Figures 1 and 2 are triangle diagrams plotting cleaning results achieved in practice of the invention as a function of composition.
  • Figures 3 and 4 are triangle diagrams plotting corrosion results achieved in practice of the invention as a function of composition.
  • the cleaning composition of this invention is organoammonium and amine carboxylate free.
  • organoammonium and amine carboxylate compounds are also a class of compound called phase transfer catalysts.
  • phase transfer catalysts Such compounds under certain solvent conditions can accelerate undesirable side reactions; i.e. activation of hydroxide or halide reactions (which can corrode metal surfaces), as reported by "Phase- Transfer Catalysis in Industry," A Practical Guide and Handbook; Mar. 1991, PTC Interface, Inc. Marietta, GA.
  • the presence of these compounds in the chemistries can also introduce additional cationic and anionic contamination as raw material sources.
  • the cleaning composition contains one or more fluoride compounds. Suitable fluoride compounds are ammonium fluoride, ammonium bifluoride and hydrogen fluoride. The preferred fluoride compounds are ammonium fluoride and ammonium bifluoride. If hydrogen fluoride is employed, a buffer may be required to bring the pH between about 2 and about 10.
  • the fluoride compounds are desirably present in an amount of from about 0.01 percent by weight to about 10 weight percent, preferably from about 0.05 weight percent to about 5 weight percent. In general, the lower the concentration of the fluoride compound in the composition, the higher the temperature of use needs to be.
  • the cleaning composition contains one or more lactam solvents.
  • Suitable lactam solvents include lactams having from 4 to 7 membered rings, including 1 to 5 carbon atom alkyl and alkoxy substituted lactams and 5 to 7 member ring alkane substituted lactams.
  • Suitable specific examples of lactam solvents include piperidones, such as 1 to 5 carbon atom alkyl, dialkyl and alkoxy, dialkoxy piperidones, including N-methyl piperidone, dimethyl piperidone, N-methoxy piperidone, dimethoxy piperidone, N-ethyl piperidone, diethylpiperidone. diexthoxy piperidone, and the like; cyclohexyl analogues of these piperidones.
  • the preferred lactam solvents are N-methyl piperidone. dimethyl piperidone and N-methyl pyrrolidone. Dimethyl piperidone is commercially available as a mixture of predominantly 1,3 dimethyl piperidone and a minor amount of 1,5 dimethyl piperidone.
  • the lactam solvents can be used either singly or as mixtures.
  • the composition optionally contains alkyl sulfoxides such as dimethyl sulfoxide and/or glycols, such as propylene glycol.
  • the cleaning composition contains water. Typically high-purity deionized water is used.
  • the composition optionally contains corrosion inhibitors. Suitable corrosion inhibitors include inorganic nitrate salts such as ammonium, potassium, sodium and rubidium nitrate salts, aluminum nitrate and zinc nitrate.
  • composition optionally contains chelating agents.
  • Suitable chelating agents are described in commonly assigned U.S. Patent 5,672,577, issued September 30, 1997 to Lee, which is incorporated herein by reference.
  • Preferred chelating agents include catechol, ethylenediaminetetraacetic acid, citric acid, pentandione and pentandione dioxime.
  • the composition optionally contains surfactants.
  • Suitable surfactants include poly(vinyl alcohol), poly(ethyleneimine) and any of the surfactant compositions classified as anionic, cationic, nonionic, amphoteric, and silicone based.
  • Preferred surfactants are poly(vinyl alcohol) and poly(ethyleneimine).
  • the acids suitable for use in the present invention are organic or inorganic.
  • the acids can include nitric, sulfuric, phosphoric, hydrochloric acids (though hydrochloric acid can be corrosive to metals) and the organic acids, formic, acetic, propionic, n-butyric, isobutyric, benzoic, ascorbic, gluconic, malic, malonic, oxalic , succinic, tartaric, citric, gallic.
  • the last five organic acids are examples of chelating agents.
  • R generally aliphatic, H or aromatic Concentrations of the acids can vary from about 1 to about 25 wt percent. The important factor is the solubility of the acid and base products with any additional agents in the aqueous solutions.
  • the caustic components suitable for use to adjust the pH of the cleaning solution can be composed of any common base, i.e. sodium, potassium, magnesium hydroxides, or the like. The major problem is that these bases introduce mobile ions into the final formulation. Mobile ions could destroy computer chips being produced today in the semiconductor industry. Other bases can include choline (a quaternary amine) or ammonium hydroxide.
  • the method of cleaning a substrate using the cleaning compositions of the present invention involves contacting a substrate having residue thereon, particularly organometallic or metal oxide residue, with a cleaning composition of the present invention for a time and at a temperature sufficient to remove the residue.
  • Stirring, agitation, circulation, sonication or other techniques as are known in the art optionally may be used.
  • the substrate is generally immersed in the cleaning composition.
  • the time and temperature are determined based on the particular material being removed from a substrate. Generally, the temperature is in the range of from about ambient or room temperature to 100°C and the contact time is from about 30 seconds to 60 minutes.
  • the preferred temperature and time of contact for this invention is 20 to 45°C from 2 to 60 minutes.
  • Preferred rinse solutions are isopropanol and DI water.
  • compositions of the invention are particularly useful for removing residue from metal and via features.
  • compositions of the invention are particularly useful on low-k dielectrics.
  • Low-k dielectrics are known in the art and include fluorinated silicate glass (FSG), hydrido organo siloxane polymer (HOSP), low organic siloxane polymer (LOSP), nanoporous silica
  • cleaning compositions and processes according to the present invention suitable for removing resist mask or residues from a substrate are set forth in examples below.
  • Example 1 A group of cleaning chemistries containing the ingredients of ammonium fluoride, water, and one of dimethyl piperidone, acetonitrile and hydroxylamine were tested with metal wafers which have stacks of TEOS / Ti / TiN /AlCu /TiN (from Bottom to Top) etched with C1 2 /BC1 3 plasma in a commercially available AMT DPS etcher. The resulting metal wafers with residues were cut into small sample pieces, and then the sample pieces were immersed into the chemistry solutions in Table 1 for cleaning for 5 minutes at room temperature. The sample pieces were taken out, rinsed with deionized water and dried with the flow of N 2 .
  • Example 2 Based on the results in Example 1 , formulations with low ammonium fluoride concentrations were evaluated to determine a lower limit for the ammonium fluoride in the cleaning formulations with ammonium fluoride and water and DMP.
  • Example 3 The procedure of Example 2 was repeated with formulations containing .3 weight percent or 1.0 weight percent of ammonium fluoride and with propylene glycol added as an additional solvent. The results are shown in Tables 3 and 4.
  • Example 4 As a result of the above experimental work, an especially preferred composition was determined to be 0.75 % of 40% concentration by weight in water ammomum fluoride, 17% by weight DMSO (dimethyl sulfoxide), 57.25 % by weight DMP (dimethyl piperidone) and 25 % by weight D.I. Water. Further tests in accordance with the procedure of Example 2 gave corrosion numbers of 10 and cleaning numbers of 10 for all of the substrate materials listed in Tables 3 and 4.
  • Table 5 shows the corrosion and cleaning results at various pH values and a variety of compositions according to the present invention.
  • the pH values as reported in Figure 5 were measured with an Orion SA520 meter with glass pH electrode.
  • Table 5 demonstrates the effectiveness of compositions according to the present invention throughout a wide range of pH values.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A composition for the cleaning of residues from substrates from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 20 percent by weight to about 50 percent by weight water, from about 20 percent by weight to about 80 percent by weight of a lactam solvent and from 0 to about 50 weight percent of an organic sulfoxide or glycol solvent. The composition has a pH between about 2 and about 10. Additionally, the composition optionally contains corrosion inhibitors, chelating agents, surfactants, acids and bases. In use of the composition, a substrate is contacted with the composition for a time and at a temperature that permits cleaning of the substrate.

Description

LACTAM COMPOSITIONS FOR CLEANING ORGANIC AND PLASMA ETCHED
RESIDUES FOR SEMICONDUCTOR DEVICES
Background of the Invention
Field of the Invention
This invention relates to a semiaqueous cleaning composition and processes for its use. The solutions are organoammonium compound and amine carboxylate compound free and contain fluoride compounds, water, and solvent and optionally contain corrosion inhibitors, chelating agents, surfactants, acids and bases.
Description of Related Art
Fluoride containing chemistries have been used for many years to clean prime silicon wafers (wafers that have not yet undergone ion implantation or device construction) in the semiconductor industry. Normally the fluoride chemistry (usually dilute hydrofluoric acid) is used as the last process step in the sequence called "RCA rinses". The substrate is often contaminated from previous process steps with monolayer amounts of metal, anions and/or organic contaminants or surface residues (particles). These contaminants have been shown to have significant impact on the electrical integrity of simple test device structures and they need to be efficiently cleaned without impairing their integrity. Such cleaning methods could include techniques discussed in the technical literature, for example, mt. Conf. On Solid State Devices and Materials, 1991, pp. 484-486 or Kujime, T. et al., Proc. of the 1996 Semi. Pure Water and Chemicals, pp. 245-256 and Singer, P. Semi. International, p.88, Oct. 1995.
Patents that teach methods for cleaning prime wafers with low pH solutions include US Patent 5,560,857 and 5,645,737; 5,181,985; 5,603,849; 5,705,089.
Using fluoride chemistries (usually HF) as a final RCA cleaning step will cause the silicon wafer surface to be in a hydrophobic state (the surface is covered with Si-H groups) which will repel water. During this cleaning step a certain proportion of the wafer surface is dissolved (removed). Unless the cleaning conditions are carefully monitored (time. temperature, solution composition) the substrates can be damaged, as reported by Rafols, C. et al. J. Electroanalytic Chem. 433. pp. 77-83, 1997. Numerous compositions combine water and organic solvents. The water concentration in these I-IF solutions is very critical. Silica oxide has an etch rate of21A/min (@ 25 °C) in HF/water, but in isobutanol the rate was reduced to 2.14A/min and even lower in acetone (an aprotic solvent) the rate was only
0.12AImin, as reported at NSF/SRC Eng. Res. Center, Environmentally Benign
Semiconductor Manufacturing, Aug. 5-7, 1998, Stanford University. After the Front End of Line (FEOL) cleaning process the wafer proceeds to the typical
Back End of Line (BEOL) manufacturing process for a semiconductor devices, in which the devices might be dynamic random access memories (DRAMs), static random access memories (SRAMs), logic, electrically programmable read only memories (EPROMs), complementary metal on silicon (CMOS), and the like. Etching fabrication technology using chemical reactions (liquid or plasma) has been used as a method of forming a wiring structure on such semiconductor substrates.
A photoresist film is deposited on the wafer to form a mask, then a substrate design is imaged on the film layer, baked, and the undeveloped image is removed with a photoresist cleaner. The remaining image is then transferred to the underlying material (either a dielectric or metal) with reactive etching gases promoted with plasma energy. The etchant gases selectively attack the unprotected area of the substrate. Liquid etching chemistries, usually containing fluoride chemistries have been used extensively over the years to etch metals (Al) and dielectrics. The fluoride chemistries can be very aggressive and can result in isotropic etching (etching equally in all directions). Isotropic etching effects cannot be tolerated with today's needs for tight critical dimension control, though there have been attempts to control the isotropic etch through statistical process control techniques, as reported by Taylor, D., Solid State Technology, July 1998, p. 119.
The usual plasma etching process involves anisotropic (unidirectional) etching while at the same time the byproducts (composed of photoresist, etching gasses and etched materials) are deposited on the sidewall of etched openings as residues.
A disadvantage of forming this protective sidewall deposit is that it can be very difficult to remove the residue after the etching procedure. If the components in these residues are not removed or neutralized in some manner then the residues will absorb moisture and form acidic species that can corrode the metal structures. The resultant acid corrodes wiring materials to bring about an adverse effect such as an increase in electrical resistance and wire disconnection. Such problems frequently occur, in particular in aluminum and aluminum alloys generally used as wiring material. The wafer substrate in contact with acidic materials, if not controlled, can destroy the metal structures.
Following completion of the etching operation it is necessary that the resist mask be removed from the protective surface to permit finishing operations. It is desirable to develop an improved cleaning composition to remove the organic polymeric substance from a coated inorganic substrate without corroding, dissolving or dulling the metal circuitry or chemically altering the wafer substrate.
Cleaning compositions used for removing photoresist coatings if not already ashed and other substrates have for the most part been highly flammable, generally hazardous to both humans and the environment, and comprise reactive solvent mixtures exhibiting an undesirable degree of toxicity. Moreover, these cleaning compositions are not only toxic, but their disposal is costly since they might have to be disposed of as a hazardous waste. In addition, these compositions generally have severely limited bath life and, for the most part, are not recyclable or reusable. Side wall residues have been removed with either acidic organic solvents or alkaline organic solvents. The acidic solvents are generally composed of phenolic compounds or chloro-solvent and/or an aromatic hydrocarbon and/or alkylbenzenesulfonic acids. These formulations generally need to be used at temperatures up to and beyond 100°C. These chemistries normally need to be rinsed with isopropanol. Dilute hydrofluoric acid solutions can under certain conditions remove the sidewall polymers by aggressively attacking the via sidewall of the dielectric and therefore changing the dimensions of the device, as taught by Ireland, P., Thin Solid Films, 304, pp. 1-12 (1997), and possibly the dielectric constant. Previous chemistries that contain HF, nitric acid, water and hydroxylamine are aggressive enough to etch silicon, as taught by U.S. Patent 3,592,773 issued to A. Muller. Recent information also indicates that the dilute HF solutions can be ineffective for cleaning the newer CF~ etch residues, as taught by K. Ueno et al., "Cleaning of CHF3 Plasma-Etched SiO2/SiN/Cu Via Structures with Dilute Hydrofluoric Acid Solutions," J Electrochem. Soc, vol. 144, (7) 1997. Contact holes opened on to the TiSi2have also been difficult to clean with HF solutions since there appears to be an attack of the underlying TiSi2 layer. There may also be difficulty with mass transport of the chemicals in the narrow hydrophilic contact holes, as taught by Baklanov, M.R. et al., Proc. Electrochem. Soc, 1998, 97-3 5, pp. 602-609. The photoresist around the contact hole of common interlayer dielectrics, TEOS
(tetraethylorthosilicate) and boron phosphosilicate glass (BPSG). which are commonly used in ultra large scale integration (ULSI) structures for better conformity of step coverage, is usually removed with HF solutions. It is not uncommon for the HF to also attack the dielectric material. Such attack is not desirable (see Lee, C. and Lee, 5, Solid State Electronics, 4, pp. 92 1-923 (1997)).
The alkaline organic solvents for post etch residue removal can be composed of amines and/or alkanolamines and/or neutral organic solvents. These formulations generally must be used at temperatures above 100 C. Recently a new class of post etch residue cleaning chemistries has been used to clean these substrates. These chemistries include hydroxylamine, amines, alkanolamines and corrosion inhibitors and generally operate at temperatures 20 to 30 degrees lower.
Recently, fluoride-based chemistries have been used in limited cases to remove post etch residues and to a limited extent, photoresist residues from integrated circuit substrates during BEOL (Back End of Line) processes. Many of the wafer cleaning compositions contain fluoride components, specifically hydrogen fluoride. In addition these compositions might contain strong caustic chemicals (choline-derivatives, tetraalkyl ammonium hydroxide, ammonium hydroxide) such as disclosed in US Patent 5,129,955; US Patent 5,563,1 19; or US Patent 5,571,447, or might use a two-phase solvent system, which contains one phase with hydrofluoric acid and water while a second phase contains a nonpolar organic solvent (ketones, ethers, alkanes or alkenes) (US Patent 5,603,849). Other formulations include hydroxylamine and ammonium fluoride (US Patent 5,709,756, issued to Ward). Additional examples include quaternary ammonium salt and fluoride based compositions, as disclosed in published European Application 0662705, and organocarboxylic ammonium salt or amine carboxylate and fluoride based compositions, as disclosed in US Patent 5,630,904.
Some chemistries have also included chelating agents to help remove ionic and anionic contamination from the wafer surface (PCT US98/02794) but chelating agents such as citric acid, gallic acid, and catechol among others, can be aggressive toward the aluminum oxide that covers the Al metal lines. Studies by Ohman and Sjoberg show that the strong complexing ability of citric ions can increase the aluminum oxide solubility and thereby expose the metal to further corrosion, by factors of 166 and 468 at pH 5 and 6 (see Ohman et al, J. Chem. Soc, Dalton Trans. (1983), p. 2513). Other methods for cleaning metal and metal oxide residues on wafers include spraying water vapor into the plasma ashing chamber followed by introducing fluorine containing gases (hydrofluoric acid) (US Patent 5,181,985) or a liquid containing hydrofluoric acid. ammonium fluoride and water with a pH between 1.5 to less than 7. There is also a need to remove particulate residues from the wafer surfaces during the
BEOL process. Currently most processes rely on an isopropanol and/or DI water rinse with/or without ultra- or megasonic cleaning. For post chemical mechanical polishing (CMP) cleaning of oxide wafers, normally only DI water is used, but with tungsten wafers a combination of dilute NH4OH and dilute HF usually are required. There are five mechanisms for removing impurities (particles and/or ions) from the wafer surfaces:
1. Physical desorption by solvents, which involves replacing a small number of strongly absorbed particles with a large volume of weakly adsorbed solvent
(changing the interaction of the surface charges); 2. Change the surface charge with either acids or bases, i.e. the Si-OH group can be made positive or protonated with acid or made negative with bases by removing the proton;
3. Ion complexion by removing adsorbed metal ions by adding acid (i.e. ion exchange); 4. Oxidation or decomposition of impurities, which involves oxidation of metals, organic materials or the surface of slurry particles, will change the chemical forces between the impurities and substrate surface. The chemical reaction can either be through redox chemistry or free radicals;
5. Etching the oxide surface, which releases the impurity while dissolving a certain thickness of the substrate surface.
Currently available fluoride-based chemistries can help in items #2 and 5, but the cleaning conditions must be carefully controlled. In many cases, the components of the cleaning compositions are relatively toxic reactive solvent mixtures and thus must be subject to stringent use conditions and require hazardous chemical handling procedures and wearing of safety garments and apparel by users so as to avoid contact with the cleaning compositions. Additionally, because many of the toxic components of such cleaning compositions are highly volatile and subject to high evaporation rates, they require special human and environmental safety precautions to be taken during storage and use of the compositions.
Accordingly, there exists a need to develop improved cleaning compositions to efficiently clean a variety of deposits from a wide variety of substrates. Particularly in the field of integrated circuit fabrication, it should be recognized that the demands for improved cleaning performance with avoidance of attack on the substrates being cleaned are constantly increasing. This means that compositions that were suitable for cleaning less sophisticated integrated circuit substrates may not be able to produce satisfactory results with substrates containing more advanced integrated circuits in the process of fabrication. These compositions should also be economical, environmental friendly and easy to use.
The present invention teaches such a new and improved cleaning composition and a process for its use. This composition is aqueous, dissolves both organic and inorganic substances, and, when used in the process, is able to clean a variety of substrates. The composition provides a more effective cleaning of the substrates, which means more effective residue removal, which in turn means that higher product yields can be obtained from the substrates being cleaned.
SUMMARY OF THE INVENTION The novel cleaning compositions of the invention exhibit synergistically enhanced cleaning action and cleaning capabilities at low temperatures that are not possible from the use of the individual components, or the components in combination with other cleaning components, or the components in combination with other cleaning components such as ethoxyethanolamine or alkylamides. It is a general object of the invention to provide a semiconductor substrate cleaning composition that is effective at low temperatures.
It is a further object of the invention to provide a post etch residue cleaning composition that inhibits redeposition of metal ions.
It is a further object of the invention to form such a cleaning solution that is not flammable.
It is a further object of the invention to provide such a cleaning solution having low etch rates of silicon oxide. It is a further object of the invention to provide such a cleaning solution and a process which removes post etch residues from metal structures.
It is a further object of the invention to provide such a cleaning solution and a process which removes post etch residues from vias. It is a further object of the invention to provide such a cleaning solution and a process which removes post etch residues from low k dielectrics.
These and related objects are attained through the use of the composition and process disclosed herein.
A composition in accordance with this invention is for the cleaning of residues from substrates and is. organoammonium and amine carboxylate free. It comprises from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 20 percent by weight to about 50 percent by weight water, from about 20 percent by weight to about 80 percent by weight of a lactam solvent and from 0 to about 50 weight percent of an organic sulfoxide or glycol solvent. The composition has a pH between about 2 and about 10. Additionally, the composition optionally contains corrosion inhibitors, chelating agents, surfactants, acids and bases.
A process for cleaning residue from a substrate in accordance with this invention comprises contacting the substrate with an organoammonium and amine carboxylate free composition comprising one or more fluoride compounds, water and lactam solvent at a temperature and for a time sufficient to clean the substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
Figures 1 and 2 are triangle diagrams plotting cleaning results achieved in practice of the invention as a function of composition. Figures 3 and 4 are triangle diagrams plotting corrosion results achieved in practice of the invention as a function of composition.
DETAILED DESCRIPTION OF THE INVENTION
The cleaning composition of this invention is organoammonium and amine carboxylate free. Several advantages are achievable by being able to eliminate organoammonium and amine carboxylate compounds from the compositions. Eliminating these compounds reduces cost of the product. Organoammonium and amine carboxylate compounds are also a class of compound called phase transfer catalysts. Such compounds under certain solvent conditions can accelerate undesirable side reactions; i.e. activation of hydroxide or halide reactions (which can corrode metal surfaces), as reported by "Phase- Transfer Catalysis in Industry," A Practical Guide and Handbook; Mar. 1991, PTC Interface, Inc. Marietta, GA. The presence of these compounds in the chemistries can also introduce additional cationic and anionic contamination as raw material sources.
The cleaning composition contains one or more fluoride compounds. Suitable fluoride compounds are ammonium fluoride, ammonium bifluoride and hydrogen fluoride. The preferred fluoride compounds are ammonium fluoride and ammonium bifluoride. If hydrogen fluoride is employed, a buffer may be required to bring the pH between about 2 and about 10. The fluoride compounds are desirably present in an amount of from about 0.01 percent by weight to about 10 weight percent, preferably from about 0.05 weight percent to about 5 weight percent. In general, the lower the concentration of the fluoride compound in the composition, the higher the temperature of use needs to be. The cleaning composition contains one or more lactam solvents. Suitable lactam solvents include lactams having from 4 to 7 membered rings, including 1 to 5 carbon atom alkyl and alkoxy substituted lactams and 5 to 7 member ring alkane substituted lactams. Suitable specific examples of lactam solvents include piperidones, such as 1 to 5 carbon atom alkyl, dialkyl and alkoxy, dialkoxy piperidones, including N-methyl piperidone, dimethyl piperidone, N-methoxy piperidone, dimethoxy piperidone, N-ethyl piperidone, diethylpiperidone. diexthoxy piperidone, and the like; cyclohexyl analogues of these piperidones. such as N-methyl pyrrolidone, N-2(hydroxyethyl-2-pyrrolidone, N2(cyclohexyl)- 2-pyrrolidone, and the like. The preferred lactam solvents are N-methyl piperidone. dimethyl piperidone and N-methyl pyrrolidone. Dimethyl piperidone is commercially available as a mixture of predominantly 1,3 dimethyl piperidone and a minor amount of 1,5 dimethyl piperidone. The lactam solvents can be used either singly or as mixtures. The composition optionally contains alkyl sulfoxides such as dimethyl sulfoxide and/or glycols, such as propylene glycol.
The cleaning composition contains water. Typically high-purity deionized water is used. The composition optionally contains corrosion inhibitors. Suitable corrosion inhibitors include inorganic nitrate salts such as ammonium, potassium, sodium and rubidium nitrate salts, aluminum nitrate and zinc nitrate.
The composition optionally contains chelating agents. Suitable chelating agents are described in commonly assigned U.S. Patent 5,672,577, issued September 30, 1997 to Lee, which is incorporated herein by reference. Preferred chelating agents include catechol, ethylenediaminetetraacetic acid, citric acid, pentandione and pentandione dioxime.
The composition optionally contains surfactants. Suitable surfactants include poly(vinyl alcohol), poly(ethyleneimine) and any of the surfactant compositions classified as anionic, cationic, nonionic, amphoteric, and silicone based. Preferred surfactants are poly(vinyl alcohol) and poly(ethyleneimine).
Some combinations of components require the addition of acids and/or bases to adjust the pH to an acceptable value. The acids suitable for use in the present invention are organic or inorganic. The acids can include nitric, sulfuric, phosphoric, hydrochloric acids (though hydrochloric acid can be corrosive to metals) and the organic acids, formic, acetic, propionic, n-butyric, isobutyric, benzoic, ascorbic, gluconic, malic, malonic, oxalic , succinic, tartaric, citric, gallic. The last five organic acids are examples of chelating agents.
General structure for the organic acids:
O
R-C-C--OH
X
X = -OH, -NHR, -H, -Halogen, -CO2H and -CH2-CO2H, -CHOH-CO2H
R = generally aliphatic, H or aromatic Concentrations of the acids can vary from about 1 to about 25 wt percent. The important factor is the solubility of the acid and base products with any additional agents in the aqueous solutions. The caustic components suitable for use to adjust the pH of the cleaning solution can be composed of any common base, i.e. sodium, potassium, magnesium hydroxides, or the like. The major problem is that these bases introduce mobile ions into the final formulation. Mobile ions could destroy computer chips being produced today in the semiconductor industry. Other bases can include choline (a quaternary amine) or ammonium hydroxide.
Operation: The method of cleaning a substrate using the cleaning compositions of the present invention involves contacting a substrate having residue thereon, particularly organometallic or metal oxide residue, with a cleaning composition of the present invention for a time and at a temperature sufficient to remove the residue. Stirring, agitation, circulation, sonication or other techniques as are known in the art optionally may be used. The substrate is generally immersed in the cleaning composition. The time and temperature are determined based on the particular material being removed from a substrate. Generally, the temperature is in the range of from about ambient or room temperature to 100°C and the contact time is from about 30 seconds to 60 minutes. The preferred temperature and time of contact for this invention is 20 to 45°C from 2 to 60 minutes. Generally the substrate will be rinsed after using the composition. Preferred rinse solutions are isopropanol and DI water.
The compositions of the invention are particularly useful for removing residue from metal and via features.
The compositions of the invention are particularly useful on low-k dielectrics. Low-k dielectrics are known in the art and include fluorinated silicate glass (FSG), hydrido organo siloxane polymer (HOSP), low organic siloxane polymer (LOSP), nanoporous silica
(Nanoglass), hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ), divinysiloxane bis(benzocyclobutene) (BCB), silica low-k (SiLK), poly(arylene ether) (PAE, Flare, Parylene), and fluorinated polyimide (FPI).
Examples of cleaning compositions and processes according to the present invention suitable for removing resist mask or residues from a substrate are set forth in examples below.
Example 1. A group of cleaning chemistries containing the ingredients of ammonium fluoride, water, and one of dimethyl piperidone, acetonitrile and hydroxylamine were tested with metal wafers which have stacks of TEOS / Ti / TiN /AlCu /TiN (from Bottom to Top) etched with C12/BC13 plasma in a commercially available AMT DPS etcher. The resulting metal wafers with residues were cut into small sample pieces, and then the sample pieces were immersed into the chemistry solutions in Table 1 for cleaning for 5 minutes at room temperature. The sample pieces were taken out, rinsed with deionized water and dried with the flow of N2. SEM was performed with a Hitachi 4500 FE-SEM for evaluating cleaning and corrosion effects. Residue removal and corrosion effects on metal stack were assessed by visual comparisons and were all ranked on a scale of 1 to 10. The formulations in table 1 are shown in weight percent.
Reaction Temperature Room Temperature Metal Retention for Metal Lines
DI water Deionized water Clean lines 1 - poor 10 - complete
ACN Acetonitrile At retention 10 - no change, 1 - metal undercut
DMP 1,3-Dιmethylpιpeπdone Ti retention 10 - no change, < - see attack
HDA Hydroxylamine TiN retention 10 - no change, <5 - see attack
Oxide retention 10 - no change, <5 - see attack
The results showed that the DMP containing formulation gave the combination of the best cleaning performance with the smallest extent of corrosion, in the form of a slight attack on aluminum. On this basis, DMP was chosen for further study to provide an enhanced formulation.
Example 2. Based on the results in Example 1 , formulations with low ammonium fluoride concentrations were evaluated to determine a lower limit for the ammonium fluoride in the cleaning formulations with ammonium fluoride and water and DMP. A commercially available LAM TCP9600 etcher with a C12/BC13 plasma was used for etching metal wafers with a stack of Oxide / Ti / TiN /AlCu /TiN (from bottom to top). The resulting metal wafers with residues were cut into small sample pieces, and the sample pieces were immersed into the cleaning solutions in Table 2 for cleaning for 5 minutes at room temperature. The sample pieces were taken out, rinsed with deionized water and dried with a flow of N2. SEM was performed with a Hitachi 4500 FE-SEM for evaluating cleaning and corrosion effects. Residue removal and corrosion effects on the metal stack were assessed by visual comparisons and were all ranked on a scale of 1 to 10. The formulations in table 2 are shown in weight percent. 12
Reaction Temperature Room Temperature Metal Retention for Metal Lines DI water Deionized water Clean tines 1 - poor 10 - complete DMP 1,3-Dιmethylpιpeπdone Al retention 10 - no change, 1- metal undercut
Ti retention 10 - no change, <5 - see attack
TiN retention 10 - no change, <5 - see attack
Oxide retention 10 - no change, <5 - see attack
These results show that significant cleaning results are obtained with compositions containing as little as about 0.1 weight percent of the ammonium fluoride, with no corrosion. Better cleaning results in a shorter treatment time are obtained with an ammonium fluoride concentration of 0.2 weight percent in the formulations.
Example 3. The procedure of Example 2 was repeated with formulations containing .3 weight percent or 1.0 weight percent of ammonium fluoride and with propylene glycol added as an additional solvent. The results are shown in Tables 3 and 4.
13 Formulation in wt %
Reaction Temperature Room Temperature Metal Retention for Metal Lines DI water Deionized water Clean tines 10 - complete, 1- not clean at all DMP 1,3-Dιmethylpιpeπdone At retention 10 - no change, 1 - Al layer gone PG Propylene glycol Ti retention 10 - no change, 1 - Ti layer gone
TiN retention 10 - no change, 1 - TiN layer gone
Oxide retention 10 - no change, 1- Oxide layer gone
14 Formulation in wt %
Reaction Temperature Room Temperature Metal Retention for Metal Lines DI water Deionized water Clean tines 10 - complete, 1 - not clean at all DMP 1,3-Dιmethylpιpeπdone At retention 10 - no change, 1 - Al layer gone PG Propylene glycol Ti retention 10 - no change, 1 - Ti layer gone
TiN retention 10 - no change, 1 - TiN layer gone
Oxide retention 10 - no change, 1 - Oxide layer gone
In order to understand the results obtained with the formulations shown in Tables 3 and 4, the data was plotted in the four triangle diagrams of Figures 1-4, showing respectively, residue cleaning performance as a function of water, DMP and PG concentration in the low fluoride and high fluoride solutions, and aluminum corrosion as a function of water, DMP and PG concentration in the low fluoride and high fluoride solutions. A comparison of Figure 1 with Figure 2 shows that higher fluoride concentrations are sometimes necessary to obtain satisfactory cleaning results with high water and high DMP concentrations. Figures 3 and 4 show that the least corrosion occurs with a high concentration of DMP relative to water.
Example 4. As a result of the above experimental work, an especially preferred composition was determined to be 0.75 % of 40% concentration by weight in water ammomum fluoride, 17% by weight DMSO (dimethyl sulfoxide), 57.25 % by weight DMP (dimethyl piperidone) and 25 % by weight D.I. Water. Further tests in accordance with the procedure of Example 2 gave corrosion numbers of 10 and cleaning numbers of 10 for all of the substrate materials listed in Tables 3 and 4.
Table 5, below, shows the corrosion and cleaning results at various pH values and a variety of compositions according to the present invention. The pH values as reported in Figure 5 were measured with an Orion SA520 meter with glass pH electrode. Table 5 demonstrates the effectiveness of compositions according to the present invention throughout a wide range of pH values.
Formulation in wt. %
Reaction Temperature Room Temperature Metal Retention for Metal Lines DI water Deionized water Clean tines 10 - complete, 1- not clean at all DMP 1,3-Dιmethylpιpendone At retention 10 - no change, 1- Al layer gone PG Propylene glycol DMSO Dimethylsulfoxide
Substitution of other fluorine compounds, lactam solvents, organic sulfoxides and other glycols gives similar advantageous results.
One skilled in the art will recognize from the foregoing examples that modifications and variations can, and are expected to be made, to the foregoing cleaning solution in accordance with varying conditions inherent in the production process. The embodiments above are given by way of example. For example, the specific discussion of dielectric preservation is indicative of other metal, metal alloy, and polysilicon structures protected by the proposed cleaning solution and method. The teaching examples do not limit the present invention, which is defined by the following claims. All publications and patent applications mentioned in this specification are herein incorporated by reference to the same extent as if each individual publication or patent application was specifically and individually indicated to be incorporated by reference.
The invention now being fully described, it will be apparent to one of ordinary skill in the art that many changes and modifications can be made thereto without departing from the spirit or scope of the appended claims.

Claims

WHAT IS CLAIMED IS:
1. An organoammonium and amine carboxylate free composition for the cleaning of residues from substrates, comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 20 percent by weight to about 50 percent by weight water, from about 20 percent by weight to about 80 percent by weight of a lactam solvent and from 0 to about 50 weight percent of an organic sulfoxide or glycol solvent, said composition having a pH between about 2 and about 10.
2. The composition of claim I in which the lactam solvent is a lactam having from 4 to 7 membered rings and is a 1 to 5 carbon atom alkyl or alkoxy substituted lactam or a 5 to 7 member ring alkane substituted lactam.
3. The composition of claim 2 in which the lactam is a piperidone.
4. The composition of claim 3 in which the piperidone is a I to 5 carbon atom alkyl, dialkyl alkoxy or dialkoxy piperidone.
5. The composition of claim 4 in which the piperidone is N-methyl piperidone, dimethyl piperidone, N-methoxy piperidone, dimethoxy piperidone, N-ethyl piperidone, diethylpiperidone or diexthoxy piperidone.
6. The composition of claim 2 in which the lactam is a pyrrolidone.
7. The composition of claim 6 in which the pyrrolidone is N-2(hydroxyethyl-2- pyrrolidone or N-2(cyclohexyl)-2 -pyrrolidone.
8. The composition of claim 2 in which the lactam is N-methyl piperidone, dimethyl piperidone or N-methyl pyrrolidone.
The composition of claim 8 in which the lactam is dimethyl piperidone.
10. The composition of claim 8 in which the fluoride compound is ammonium fluoride, ammonium bifluoride or hydrogen fluoride.
11. The composition of claim 1 in which the fluoride compound is ammonium fluoride, ammonium bifluoride or hydrogen fluoride.
12. The composition of Claim 1 further comprising a corrosion inhibitor.
13. The composition of Claim I further comprising a chelating agent.
14. The composition of Claim 1 further comprising a surfactant.
15. The composition of Claim I further comprising an acid.
16. The composition of Claim I further comprising a base.
17. The composition of Claim 1 comprising ammonium fluoride, water and dimethyl piperidone.
18. The composition of claim 17 further comprising dimethyl sulfoxide or propylene glycol.
19. A process for cleaning residue from a substrate, which comprises contacting the substrate with an organoammonium and amine carboxylate free composition comprising one or more fluoride compounds, water and an lactam solvent at a temperature and for a time sufficient to clean the substrate.
20. The process of claim 15 in which the temperature is from about 20° to about 100°C.
21. The process of Claim 16 where the temperature is about 20° to about 45°C.
22. The process of claim 16 in which the time is from about 3 minutes to about
10 minutes.
23. The process of claim 15 in which the composition comprises from about 0.01 percent by weight to about 10 percent by weight of the one or more fluoride compounds, from about 20 percent by weight to about 50 percent by weight of the water, from about 20 percent by weight to about 80 percent by weight of the lactam solvent, the composition having a pH between about 2 and about 10.
24. The process of claim 19 in which the composition additionally comprises up to about 50 weight percent of an organic sulfoxide or glycol solvent.
EP00950373A 1999-07-16 2000-07-17 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices Expired - Lifetime EP1212150B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/354,834 US6235693B1 (en) 1999-07-16 1999-07-16 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US354834 1999-07-16
PCT/US2000/019333 WO2001005524A1 (en) 1999-07-16 2000-07-17 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
EP07012676 Division 2007-06-28

Publications (3)

Publication Number Publication Date
EP1212150A1 true EP1212150A1 (en) 2002-06-12
EP1212150A4 EP1212150A4 (en) 2003-04-02
EP1212150B1 EP1212150B1 (en) 2009-01-28

Family

ID=23395085

Family Applications (1)

Application Number Title Priority Date Filing Date
EP00950373A Expired - Lifetime EP1212150B1 (en) 1999-07-16 2000-07-17 Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices

Country Status (10)

Country Link
US (1) US6235693B1 (en)
EP (1) EP1212150B1 (en)
JP (1) JP2003507901A (en)
KR (1) KR20020031159A (en)
AT (1) ATE421905T1 (en)
AU (1) AU6348600A (en)
DE (1) DE60041497D1 (en)
HK (1) HK1047063A1 (en)
TW (2) TWI233942B (en)
WO (1) WO2001005524A1 (en)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6472335B1 (en) * 1998-10-19 2002-10-29 Taiwan Semiconductor Manufacturing Company Methods of adhesion promoter between low-K layer and underlying insulating layer
JP4516176B2 (en) * 1999-04-20 2010-08-04 関東化学株式会社 Substrate cleaning solution for electronic materials
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7456140B2 (en) 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
WO2002004233A1 (en) * 2000-07-10 2002-01-17 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6656894B2 (en) * 2000-12-07 2003-12-02 Ashland Inc. Method for cleaning etcher parts
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
JP4314320B2 (en) * 2002-04-10 2009-08-12 三菱電機株式会社 Method for manufacturing compound semiconductor device
JP2003332465A (en) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp Method of manufacturing semiconductor memory device
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
KR100795364B1 (en) * 2004-02-10 2008-01-17 삼성전자주식회사 Composition for cleaning a semiconductor substrate, method of cleaning and method for manufacturing a conductive structure using the same
KR20050110470A (en) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 Composition for cleaning a semiconductor substrate, method for cleaning a semiconductor substrate and method for manufacturing a semiconductor device using the same
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
CN101228481B (en) * 2005-02-25 2012-12-05 Ekc技术公司 Method to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric
TWI622639B (en) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
JP4734090B2 (en) * 2005-10-31 2011-07-27 株式会社東芝 Manufacturing method of semiconductor device
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
KR100823714B1 (en) * 2006-08-24 2008-04-21 삼성전자주식회사 Cleaning solution for removing polymer and method of removing polymer using the same
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
KR101452550B1 (en) 2007-07-19 2014-10-21 미쓰비시 가가꾸 가부시키가이샤 Ⅲ nitride semiconductor substrate and method for cleaning the same
CN101815811A (en) * 2007-09-06 2010-08-25 Ekc技术公司 Be used for handling the composition and the method on copper surface
KR20100082012A (en) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 Compositions for removal of metal hard mask etching residues from a semiconductor substrate
US8101525B2 (en) * 2009-02-13 2012-01-24 Applied Materials, Inc. Method for fabricating a semiconductor device having a lanthanum-family-based oxide layer
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US8951950B2 (en) * 2012-03-12 2015-02-10 Ekc Technology Aluminum post-etch residue removal with simultaneous surface passivation
EP3480288A1 (en) * 2017-11-07 2019-05-08 Henkel AG & Co. KGaA Fluoride based cleaning composition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0901160A2 (en) * 1997-08-18 1999-03-10 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid for semiconductor devices

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3236220B2 (en) * 1995-11-13 2001-12-10 東京応化工業株式会社 Stripper composition for resist
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5780406A (en) * 1996-09-06 1998-07-14 Honda; Kenji Non-corrosive cleaning composition for removing plasma etching residues

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0901160A2 (en) * 1997-08-18 1999-03-10 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid for semiconductor devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO0105524A1 *

Also Published As

Publication number Publication date
US6235693B1 (en) 2001-05-22
DE60041497D1 (en) 2009-03-19
EP1212150B1 (en) 2009-01-28
WO2001005524A1 (en) 2001-01-25
ATE421905T1 (en) 2009-02-15
AU6348600A (en) 2001-02-05
JP2003507901A (en) 2003-02-25
HK1047063A1 (en) 2003-02-07
KR20020031159A (en) 2002-04-26
TWI233942B (en) 2005-06-11
EP1212150A4 (en) 2003-04-02
TW200521222A (en) 2005-07-01
TWI283705B (en) 2007-07-11

Similar Documents

Publication Publication Date Title
EP1212150B1 (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
EP1177275B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6777380B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
KR102266832B1 (en) TiN HARD MASK AND ETCH RESIDUE REMOVAL
EP1381663B1 (en) Cleaning compositions
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
WO2004100245A1 (en) Removal of post-etch residues in semiconductor processing
EP1610185A2 (en) Composition and method using same for removing residue from a substrate
EP3523241A1 (en) Cleaning formulations for removing residues on semiconductor substrates
WO2008036823A2 (en) Uric acid additive for cleaning formulations
WO2015089023A1 (en) Cleaning formulation for removing residues on surfaces
WO1998040453A1 (en) Alkanolamine semiconductor process residue removal composition and process
US20050089489A1 (en) Composition for exfoliation agent effective in removing resist residues
US20060000492A1 (en) Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20020208

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

A4 Supplementary search report drawn up and despatched

Effective date: 20030213

RIC1 Information provided on ipc code assigned before grant

Ipc: 7C 11D 7/50 B

Ipc: 7C 11D 7/32 B

Ipc: 7C 11D 7/34 B

Ipc: 7C 11D 7/10 B

Ipc: 7C 11D 7/26 B

Ipc: 7H 01L 21/3213 B

Ipc: 7G 03F 7/42 B

Ipc: 7B 08B 3/04 A

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REF Corresponds to:

Ref document number: 60041497

Country of ref document: DE

Date of ref document: 20090319

Kind code of ref document: P

NLV1 Nl: lapsed or annulled due to failure to fulfill the requirements of art. 29p and 29m of the patents act
PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090128

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090509

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090128

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090629

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090128

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090428

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090128

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090128

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20091029

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MC

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20090731

REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1047063

Country of ref document: HK

Ref country code: CH

Ref legal event code: PL

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20090717

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20100331

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20090731

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20090731

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20090731

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20090717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20100202

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20090717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090429

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090128

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LU

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20090717

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20090128