TWI263303B - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
TWI263303B
TWI263303B TW092100799A TW92100799A TWI263303B TW I263303 B TWI263303 B TW I263303B TW 092100799 A TW092100799 A TW 092100799A TW 92100799 A TW92100799 A TW 92100799A TW I263303 B TWI263303 B TW I263303B
Authority
TW
Taiwan
Prior art keywords
opening
insulating film
film
organic insulating
wiring
Prior art date
Application number
TW092100799A
Other languages
English (en)
Other versions
TW200303599A (en
Inventor
Hiroshi Kudo
Kouichiro Inazawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200303599A publication Critical patent/TW200303599A/zh
Application granted granted Critical
Publication of TWI263303B publication Critical patent/TWI263303B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

1263303 ⑴ 玖、發明說明 【發明所屬之技術領域】 本發明是關於半導體裝置的製造方法,特別是關於包 含利用雙道金屬鑲嵌法形成多層配線構造的配線層以及介 層的製程的半導體裝置的製造方法。 【先前技術】 近年來伴隨著半導體裝置的微細化使配線的寬度變窄 ,而且配線彼此的間隔變窄。因此,配線電阻增加並且因 配線所發生的寄生電容增加,此會使訊號速度延遲,變成 妨礙根據定標(Scaling)則的半導體裝置的高速化。 由這種狀況’爲了減少配線間的寄生電容與配線電阻 ’需要重看多層配線的形成方法與絕緣材料以及金屬配線 材料。對於減小配線電容介電常數小的絕緣材料有效,而 且,關於金屬配線的材料選擇,因可減小配線電阻,故由 鋁(A1)移到電阻率低的銅(Cu)。 銅膜的加工因適用習知的乾式鈾刻(Dry etching)困難 ,故使用金屬鑲嵌(Damascene)法。若大致區分金屬鑲嵌 法的話有單道金屬鑲嵌(Single damascene)法與雙道金屬鑲 嵌(Dual damascene)法。單道金屬鑲嵌法是令連結下側配 線與上側配線之間的插塞(Plug)(介層(Vh))的形成與配線 的形成爲不同的製程的方法,雙道金屬鑲嵌法爲同時形成 配線與插塞的方法。 半導體裝置的配線層伴隨著微細化多層化進行中,在 -6- (2) 1263303 例如設計法則(Design i*Ules)0. 18/im世代的半導體裝置配 線數及於6層◦此情形在單道金屬鑲嵌法例如對重複12 次(配線形成6次與插塞形成6次)類似的製程而形成,在 雙道金屬鑲嵌法僅重複6次類似的製程即可完成。 雙道金屬鑲嵌法以單道金屬鑲嵌法的一半的製程數即 可乃因如上述可同時形成配線與插塞。因此,爲了抑制生 產成本提高生產效率,雙道金屬鑲嵌法較有利。再者,雙 道金屬鑲嵌法因下層配線與連接與此下層配線的插塞的接 觸電阻低,故避免這些接觸不良容易,配線的可靠度更高 〇 圖20〜圖24是顯示利用習知的雙道金屬鑲嵌法的半 導體裝置的製造方法的圖。首先根據圖20(A)〜(C),在下 側配線層之上形成複數個絕緣膜以及金屬膜等。此外,關 於下側配線層,由如以下的手續作成。 首先在矽基板21之上形成氧化矽膜(Si〇2膜)22、有機 絕緣膜2 3以及氧化矽膜2 4。此處,氧化矽膜2 2與氧化 矽膜2 4是藉由電漿C V D法分別形成於2 〇 〇 n m的厚度與 100nm的厚度。 而且,有機絕緣膜2 3爲例如以旋塗(s p丨^ c 〇 a t)形成 400nm厚的低介電常數絕緣材料的Aliecl Slgnai公司製的 商品名FLARE2.0。商品名FLARE2.0爲芳香族系的聚合物 (Polymer)’其介電常數爲2.8’比氧化砂膜的介電常數4.1 還低’而且耐熱性爲4 0 0 °C以上。此處,有機絕緣膜2 3 雖然使用FLARE2.0,惟也能使用Dow Chemical公司製的 (3) 1263303 碳化氯系聚合物的商品名S i L K等。而且’有機絕緣膜2 3 使用其他含碳化氫樹脂、含氟樹脂、氧碳化矽(Si〇C)等也 可以。 對如此形成的有機絕緣膜23與氧化矽膜24 ’爲了形 成第一*配線層,首先將感光性聚合物的光阻膜塗佈於氧化 矽膜24,藉由曝光以及顯影製程形成配線圖案(Pattern)用 的窗。而且,通過此窗藉由利用電漿鈾刻法等的蝕刻在氧 化矽膜24作成配線圖案形狀的開口。其次,藉由電漿鈾 刻法除去有機絕緣膜23之中由氧化矽膜24的配線用開口 露出的部分,形成配線圖案形狀的開口。此外,有機絕緣 膜23的鈾刻在導入〇2氣體與Ar氣體的環境中進行。此 情形的蝕刻劑(E t c h a n t)由於是氧,故有機絕緣膜2 3與光 阻膜對氧化矽膜22、24選擇性地被蝕刻,氧化矽膜24不 被蝕刻。但因光阻膜被氧蝕刻,故與有機絕緣膜23的蝕 刻並行可除去光阻膜。 藉由如以上的圖案形成(Patterning)處理而形成的氧化 矽膜24的開口與有機絕緣膜23的開口,以構成第一配線 層的配線溝槽。因有機絕緣膜23的開口與其上的氧化矽 膜24的開口上下重疊,故這些變成第一配線層的配線溝 槽。 其次,在如以上形成的配線溝槽的內面與氧化矽膜 24的頂面,利用濺鍍(S p u tt er i ng)以5 0 nm的厚度形成由 ΤιΝ或TaN構成的阻障(Barrier)金屬膜25作爲高熔點金屬 ,接著在阻障金屬膜25上同樣地藉由濺鍍以800nm的厚 (4) 1263303 度形成銅(Cu)膜26。 因在該銅膜26的頂面發生凹凸,故爲了平坦化這些 凹凸在0. 1 Ton·壓力的氫氣環境下以400 °C、5分鐘的條件 進行回火(Anneal)處理。在此回火處理後變成在配線溝槽 內銅膜26完全被埋入的狀態。 接著,利用化學機械硏磨法(CMP法)硏磨銅膜26,使 銅膜26僅殘留於配線溝槽內,令此爲第一配線層。 藉由以上的處理可得到如圖2 0 (A)所不的構造。 其次,如圖20(B)所示在銅膜26以及氧化矽膜24之 上形成如以下所述的複數個絕緣膜、金屬膜等。即在銅膜 26與氧化矽膜 24之上分別藉由電漿CVD法形成膜厚 5 0nm的氮化矽膜30、膜厚600nm的氧化矽膜31。而且, 在氧化矽膜31之上利用旋塗形成400nm厚的有機絕緣膜 32。此情形有機絕緣膜32是選擇有機絕緣膜23所使用的 上述材料的任一種。 接著,在有機絕緣膜 32上利用電漿 CVD法形成 100nm厚的氧化矽膜33。再者,於氧化矽膜33之上利用 電漿CVD法形成膜厚l〇〇nm的氮化矽膜34。 在完成如以上的膜的形成後如圖20(C)所示,在氮化 矽膜34之上塗佈光阻(Photores1St)35,對此光阻曝光、顯 影形成窗。而且,藉由以光阻35爲罩幕(Mask)使用的微 影(Photolithography)法在氮化砂膜34形成具有相當於第 二配線層的形狀的配線用開口 34a(參照圖21(D))。 其次如圖 21(D)所示,藉由氧電漿灰化(Ashing)光阻 (5) 1263303 3 5以除去此光阻。其次如圖2 1 (E)所示,在氮化矽膜3 4 之上與其開口 3 4 a之中塗佈光阻膜3 6,藉由曝光、顯影此 光阻膜3 6,在光阻膜3 6形成在配線用開口 34a之中面對 第一配線層的一部分的窗。此窗具有相當於接觸介層 (C ο n t a c t v 1 a)的形狀。而且如圖2 1 (F)所示,通過光阻膜 3 6的窗蝕刻氧化矽膜3 3,據此,形成相當於接觸介層的 形狀的開口 33a。 在該鈾刻完成的狀態下如圖22(G)所示,藉由使用氧 與氬的非等向性(Anisotropic)電漿鈾刻,通過開口 33a蝕 刻有機絕緣膜3 2,在該處形成開口 3 2a。在此鈾刻時,光 阻膜36並行被鈾刻除去。因此,無須獨立除去光阻膜36 的製程,而且,無有機絕緣膜3 2不必要地被蝕刻。 其次如圖22(H)所示以氮化矽膜34爲罩幕使用,藉由 使用氟系氣體的電漿蝕刻通過開口 34a蝕刻氧化矽膜3 3 成配線形狀以形成開口 33b。在此蝕刻的進行中有機絕緣 膜32是作爲罩幕使用,通過有機絕緣膜32的開口 32a其 下的氧化矽膜3 1也被蝕刻,據此,在氧化矽膜3 1也同時 形成有開口 3 1 a。 接著通過氮化矽膜34的開口 34a藉由氧電漿蝕刻有 機絕緣膜3 2的話,有機絕緣膜3 2被形成配線形狀的圖案 ,在該處形成有如圖22(1)所示的配線用開口 32b。此有機 絕緣膜3 2的配線用開口 3 2b與氧化矽膜3 3的配線用開0 3 3b —起當作第二配線層的配線溝槽使用。 其次如圖23 U)所示以氧化矽膜31爲罩幕使用,藉由 -10- (6) 1263303 使用C4F8氣體與〇2氣體的電漿蝕刻,藉由蝕刻開口 31a 下的氮化矽膜30在該處形成開口 30a。氮化矽膜30的開 口 30a與氧化矽膜 31的開口 31a是作爲接觸介層孔 (Contact via hole)而使用,在其下露出第一配線層的配線 的一部分。 其次如圖23(K)所示在如以上形成的凹部的內壁面, 藉由濺鍍形成50nm厚的由TiN或TaN構成的阻障金屬膜 37。接著如圖23(L)所示,藉由濺鍍形成l〇〇nm厚的銅膜 38的下半部後,在其上利用電解電鍍法以1 500nm厚形成 銅膜38的上半部。而且,以40CTC在氫環境下對銅膜38 進行3 0分鐘的回火處理。此外,回火處理是爲了在銅膜 3 8內使粒子成長以提高配線的可靠度而進行。 其次如圖24(M)所示利用CMP法硏磨銅膜38,據此 ,使銅膜3 8僅殘留於第二配線層的配線溝槽與接觸介層 孔內。而且,令第二配線溝槽內的銅膜(銅膜3 8的上半部) 爲配線而使用,而且令殘留於接觸介層孔內的銅膜(銅膜 3 8的下半部)爲插塞而使用。 但是在如圖2 3 (〗)所示的製程中,以氧化矽膜3 1爲罩 幕而使用,藉由使用C%F8氣體與〇2氣體的電漿蝕刻’蝕 刻開口 3 1 a下的氮化矽膜3 0時,氧化矽膜3 1也受到侵蝕 而形成有如圖23(〗)所示的溝渠40。而且’有有機絕緣膜 32也同樣被侵鈾而形成有溝壁內凹(B〇wing)41的問題點 〇 圖2 5爲溝壁內凹4 1發生的剖面的擴大圖。如此圖所 -11 - (7) 1263303 示若溝壁內凹4 1發生的話,在藉由如圖2 3 ( K)所示的濺鍍 形成由TiN或TaN構成的阻障金屬膜37時,在陰影的部 分阻障金屬膜37無法充分被形成,而形成有不連續成長 點42。其結果銅由銅膜3 8擴散,有品質降低的問題點。 而且,若溝渠40以及溝壁內凹41發生的話,因配線 的剖面積較通常還增加,故有配線間的電容增大,動作速 度下降的問題點。 再者,在進行使用C%F8氣體與〇2氣體的電漿鈾刻時 ,發生CFx沉積物附著於銅膜26,並且銅膜26自身也被 氧化而發生Cu氧化物,故也有招來良率降低的問題點。 【發明內容】 本發明乃鑒於如以上的點所進行的創作,其目的爲在 利用雙道金屬鑲嵌法的半導體裝置的製造方法中,防止溝 渠40以及溝壁內凹的發生,提高半導體裝置的良率。 在本發明爲了解決上述課題,提供一種半導體裝置的 製造方法,其特徵包含:在半導體基板(矽基板2 1)上依次 形成蓋膜(氮化矽膜3 0 )、第一絕緣膜(氧化矽膜3 1 )、有機 絕緣膜3 2、第二絕緣膜(氧化矽膜3 3 )、罩幕材(氮化矽膜 3 4)的製程(圖2 0 ( B));邰分地触刻該罩幕材(氮化砂膜3 4) ,形成具有配線圖案形狀的第一開口 3 4 a的製程(圖2 1 (D)) ;倉虫刻該第二絕緣膜(氧化砂膜3 3)之中在該第一開口 3 4 a 的一部分重疊的部分,形成具有介層圖案形狀的第二開口 3 3a的製程(圖21(F));通過該第二絕緣膜(氧化矽膜33)的 (8) 1263303 該第二開口 3 3 a,鈾刻該有機絕緣膜3 2,於該有機絕緣膜 3 2形成具有該介層圖案形狀的第三開口 3 2 a的製程(圖 2 2 (G));藉由通過該罩幕材(氮化砂膜3 4)的該第一開口 34a蝕刻該第二絕緣膜(氧化矽膜33),於該第二絕緣膜(氧 化矽膜33)形成具有該配線圖案形狀的第四開口 33b,同 時藉由通過該有機絕緣膜32的該第三開口 32a蝕刻該第 一絕緣膜(氧化矽膜31),於該第一絕緣膜(氧化矽膜31)形 成具有該介層圖案形狀的第五開口 31a的製程(圖22(H)) :通過該第一絕緣膜(氧化砂膜3 1)的該第五開口 3 1 a鈾刻 該蓋膜(氮化矽膜30),於該蓋膜(氮化矽膜30)形成具有該 介層圖案形狀的第六開口 30a,令該第六開口 30a與該第 五開口 31a爲介層孔而適用,同時除去該罩幕材(氮化矽 膜34)的製程(圖3(B));通過該第二絕緣膜(氧化矽膜33) 的該第四開口 3 3 a蝕刻該有機絕緣膜3 2,於該有機絕緣膜 3 2形成具有該配線圖案形狀的第七開口 3 2b,令該第七開 口 32b與該第四開口 33b爲配線溝槽而適用的製程;以及 藉由同時埋入導電體(銅膜38)於該介層孔與該配線溝槽, 在該介層孔內形成介層,並且在該配線溝槽內形成配線的 製程(圖4(E))。 此處在最初的製程(圖20(B)),在半導體基板(矽基板 21)上依次形成有蓋膜(氮化矽膜30)(扮演防止對第一絕緣 膜或有機絕緣膜的Cu(銅)的擴散的角色的膜)、第一絕緣 膜(氧化矽膜3 1 )、有機絕緣膜3 2、第二絕緣膜(氧化矽膜 3 3)、罩幕材(氮化矽膜34)。在下一製程(圖21(D))部分地 (9) 1263303 蝕刻罩幕材(氮化矽膜3 4),形成有具有配線圖案形狀的第 一開口 34a。在下一製程(圖2 1(F))蝕刻第二絕緣膜(氧化 矽膜3 3)之中在第一開口 34a的一部分重疊的部分,形成 有具有介層圖案形狀的第二開口 33a。在下一製程(圖 22(G))通過第二絕緣膜(氧化矽膜33)的第二開口 33a鈾刻 有機絕緣膜3 2,於有機絕緣膜3 2形成有具有介層圖案形 狀的第三開口 32a。在下一的製程(圖22(H))藉由通過罩幕 材(氮化矽膜34)的第一開口 34a蝕刻第二絕緣膜(氧化矽 膜33),於第二絕緣膜(氧化矽膜33)形成具有配線圖案形 狀的第四開口 33b,同時藉由通過有機絕緣膜32的第三 開口 32a蝕刻第一絕緣膜(氧化矽膜31),於第一絕緣膜( 氧化矽膜3 1)形成具有介層圖案形狀的第五開口 3 1 a。在 下一製程(圖3(B))通過第一絕緣膜(氧化矽膜31)的第五開 口 3 1a蝕刻蓋膜(氮化矽膜30),於蓋膜(氮化矽膜30)形成 具有介層圖案形狀的第六開口 30a,令該第六開口 30a與 第五開口 3 1 a爲介層孔而適用,同時除去罩幕材(氮化矽 膜34)。在下一製程(圖3(C))通過第二絕緣膜(氧化矽膜33) 的第四開口 33a蝕刻有機絕緣膜32,於有機絕緣膜32形 成具有配線圖案形狀的第七開口 3 2b,令該第七開口 3 2b 與第四開口 3 3 b爲配線溝槽而適用。在最後的製程(圖 4(E))藉由同時埋入導電體(銅膜3 8)於介層孔與該配線溝槽 ’在介層孔內形成介層,並且在配線溝槽內形成有配線的 製程。 而且,在本發明爲了解決上述課題,提供一種半導體 -14- (10) 1263303 裝置的製造方法,其特徵包含: 在半導體基板(矽基板21)上依次形成第一罩幕30材 、有機絕緣膜60、絕緣膜(氧化矽膜61)、罩幕材62的製 程(圖9(A));部分地蝕刻該罩幕材62,形成具有配線圖案 形狀的第一開口 62a的製程(圖9(B));蝕刻該絕緣膜(氧化 砂膜6 1)之中在該第一開口 6 2 a的一部分重疊的部分,形 成具有介層圖案形狀的第二開口 6 1 a的製程(圖9(C));通 過該絕緣膜(氧化矽膜61)的該第二開口 61a蝕刻該有機絕 緣膜60,於該有機絕緣膜60形成具有該介層圖案形狀的 第三開口 60a的製程(圖10(D));藉由通過該罩幕材62的 該第一開口 62a蝕刻該絕緣膜(氧化矽膜6 1 ),於該絕緣膜 形成具有該配線圖案形狀的第四開口 61b的製程(圖10(E)) ;藉由通過該有機絕緣膜60的該第三開口 60a蝕刻該蓋 膜(氮化矽膜30),於該蓋膜(氮化矽膜30)形成具有該介層 圖案形狀的第五開口 30a,令該第五開口 30a與該第三開 口 60a爲介層孔而適用,同時除去該罩幕材62的製程(圖 1 0 (F));藉由通過該絕緣膜(氧化矽膜6 1)的該第四開口 6 1 b鈾刻該有機絕緣膜60,於該有機絕緣膜60形成具有 該配線圖案形狀的第六開口 6 0 b,令該第六開口 6 0 b與該 第四開口 6 1 b爲配線溝槽而適用的製程(圖1 1 ( g ));以及 藉由同時埋入導電體(銅膜65)於該介層孔與該配線溝槽, 在該介層孔內形成介層,並且在該配線溝槽內形成配線的 製程(圖1 1(1))。 此處在最初的製程(圖9(A)),在半導體基板(矽基板 (11) 1263303 2 1)上依次形成有第一罩幕3〇材、有機絕緣膜60、絕緣膜 (氧化矽膜6 1 )、罩幕材6 2。在下一製程(圖9 ( B))部分地鈾 刻罩幕材62,形成有具有配線圖案形狀的第一開口 62a。 在下一製程(圖9(C));蝕刻絕緣膜(氧化矽膜61)之中在第 一開口 62a的一邰分重疊的部分,形成有具有介層圖案形 狀的第一開口 6 1 a。在下一製程(圖1 〇 ( d ))通過絕緣膜(氧 化矽膜6 1)的該第二開口 6 1 a蝕刻有機絕緣膜60,於有機 絕緣膜60形成有具有介層圖案形狀的第三開口 6〇a。在下 一製程(圖10(E))藉由通過罩幕材62的第一開口 62a蝕刻 絕緣膜(氧化矽膜6 1 ),於絕緣膜形成有具有配線圖案形狀 的第四開口 61b。在下一製程(圖10(F))藉由通過有機絕緣 膜60的第三開口 60a蝕刻蓋膜(氮化矽膜30),於蓋膜(氮 化矽膜30)形成具有介層圖案形狀的第五開口 30a,令該 第五開口 30a與第三開口 60a爲介層孔而適用,同時除去 罩幕材62。在下一製程(圖11(G))藉由通過絕緣膜(氧化矽 膜6 1)的第四開口 6丨b蝕刻有機絕緣膜60,於有機絕緣膜 60形成有具有配線圖案形狀的第六開口 60b,令該第六開 口 60b與第四開口 61b爲配線溝槽而適用。在下一製程( 圖11(D)藉由同時埋入導電體(銅膜65)於介層孔與該配線 溝槽’在介層孔內形成有介層,並且在配線溝槽內形成有 配線的製程。 再者,在本發明爲了解決上述課題,提供一種半導體 裝置的製造方法,其特徵包含: 在半導體基板(砂基板2 1)上依次形成蓋膜(氮化砂膜 (12) 1263303 3 〇)、第一有機絕緣膜8 0、第一絕緣膜(氧化砂膜8 1)、第 二有機絕緣膜82、第二絕緣膜(氧化矽膜83)、罩幕材84 的製程(圖1 3 ( B));部分地蝕刻該罩幕材8 4,形成具有配 線圖案形狀的第一開口 84a的製程(圖14(D));鈾刻該第 二絕緣膜(氧化矽膜83)之中在該第一開口 84a的一部分重 疊的部分,形成具有介層圖案形狀的第二開口 8 3 a的製程 (圖14(F));通過該第二絕緣膜(氧化矽膜83)的該第二開 口 8 3 a,鈾刻該第二有機絕緣膜8 2,於該第二有機絕緣膜 82形成具有該介層圖案形狀的第三開口 82a的製程(圖 1 5 (G));通過該第二有機絕緣膜8 2的該第三開口 8 2 a蝕刻 該第一絕緣膜(氧化矽膜8 1 ),於該第一絕緣膜(氧化矽膜 8 1)形成具有該介層圖案形狀的第四開口 8 1 a的製程(圖 1 5 (Η));通過該第一絕緣膜(氧化砂膜8 1)的該第四開口 8 1 a蝕刻該第一有機絕緣膜80,於該第一有機絕緣膜80 形成具有該介層圖案形狀的第五開口 80a的製程(圖15(1)) ;通過該罩幕材84的該第一開口 84a鈾刻該第二絕緣膜( 氧化矽膜83),於該第二有機絕緣膜形成具有該配線圖案 形狀的第六開口 83b的製程(圖16(〗));通過該第一有機絕 緣膜80的該第五開口 80a鈾刻該蓋膜(氮化矽膜30),於 該蓋膜(氮化矽膜30)形成具有該介層圖案形狀的第七開口 30a,令該第七開口 30a與該第四開口 81a以及該第五開 口 8 0a爲介層孔而適用,同時除去該罩幕材84的製程(圖 16(K));通過該第二絕緣膜(氧化矽膜83)的該第六開口 83b鈾刻該第二有機絕緣膜82,於該第二有機絕緣膜82 -17- (13) 1263303 形成具有該配線圖案形狀的第八開口 82b,令該第八開口 82b與該第六開口 83b爲配線溝槽而適用的製程(圖丨6(1〇) ;以及藉由同時埋入導電體(銅膜88)於該介層孔與該配線 溝槽,在該介層孔內形成介層,並且在該配線溝槽內形成 配線的製程(圖1 7 (N))。 此處在最初的製程(圖13(B)),在半導體基板(矽基板 2 1)上依次形成有蓋膜(氮化矽膜30)、第一有機絕緣膜80 、第一絕緣膜(氧化矽膜81)、第二有機絕緣膜82、第二 絕緣膜(氧化矽膜83)、罩幕材84。在下一製程(圖14(D)) 部分地蝕刻罩幕材84,形成有具有配線圖案形狀的第一 開口 84a。在下一製程(圖14(F))鈾刻第二絕緣膜(氧化矽 膜83)之中在第一開口 84a的一部分重疊的部分,形成有 具有介層圖案形狀的第二開口 83a。在下一製程(圖15(G)) 通過第二絕緣膜(氧化矽膜83)的第二開口 83a,蝕刻第二 有機絕緣膜82,於第二有機絕緣膜82形成有具有介層圖 案形狀的第三開口 82a。在下一製程(圖15(H))通過第二有 機絕緣膜82的第三開口 82a鈾刻第一絕緣膜(氧化矽膜81) ’於第一絕緣膜(氧化矽膜8 1)形成有具有介層圖案形狀的 第四開口 81a。在下一製程(圖15(1))通過第一絕緣膜(氧化 矽膜8 1)的第四開口 8 1 a蝕刻第一有機絕緣膜80,於該第 一有機絕緣膜80形成有具有該介層圖案形狀的第五開□ 80a°在下一製程(圖16⑴)通過罩幕材84的第一開口 84a 蝕刻第二絕緣膜(氧化矽膜8 3 ),於第二有機絕緣膜形成有 具有配線圖案形狀的第六開口 8 3 b。在下一製程(圖1 6 (κ);) - 18- (14) 1263303 通過第一有機絕緣膜80的第五開口 80a蝕刻蓋膜(氮化矽 膜30),於蓋膜(氮化矽膜30)形成有具有介層圖案形狀的 第七開口 30a,令該第七開口 30a與第四開口 81a以及第 五開口 80a爲介層孔而適用,同時除去罩幕材84。在下一 製程(圖16(L))通過第二絕緣膜(氧化矽膜83)的第六開口 83b鈾刻第二有機絕緣膜82,於第二有機絕緣膜82形成 有具有配線圖案形狀的第八開口 82b,令該第八開口 82b 與第六開口 83b爲配線溝槽而適用。在最後的製程(圖 1 7 (N))藉由同時埋入導電體(銅膜88)於介層孔與配線溝槽 ,在介層孔內形成介層,並且在配線溝槽內形成有配線的 製程。 本發明的上述以及其他目的、特徵以及優點藉由作爲 本發明的例子與表示較佳的實施形態的添附圖面有關的以 下的說明可明瞭。 [實施方式】 [較佳實施例之詳細說明】 以下參照圖面說明本發明的實施形態。 圖1以及圖2是用以說明本發明的原理的圖。首先參 照圖1針對習知的半導體裝置的製造方法簡單地說明後, 參照圖2說明本發明的原理。 在習知的半導體裝置的製造方法如圖1(A)所示,在蝕 刻氧化矽膜31、33後如圖1(B)所示鈾刻有機絕緣膜32, 最後如圖1(C)所示蝕刻氮化矽膜30、34。因此,在圖1(C) (15) 1263303 所示的最後製程中溝渠40以及溝壁內凹4 1發生,並且沉 積C F X沉積物4 4,在銅膜2 6生成有C u氧化物4 3。 因此,在本發明交換圖1所示的(B)與(C)的製程,首 先如圖2(B)所示實施氮化矽鈾刻的製程。此時,氧化矽膜 3 1因被有機絕緣膜3 2遮蔽,故可防止溝渠40的發生。 ' 而且,有機絕緣膜3 2因利用氧或氫的電獎触刻爲可 能,若使用這些氣體的話因對氧化矽膜31可確保高選擇 比,故可防止溝渠的發生。 · 再者,在圖2(B)的製程雖然與習知的情形一樣沉積 CFx沉積物44,在銅膜26生成有Cu氧化物43,但在圖 2 (C)的製程中若進行利用氧或氣的電漿蝕刻的話,藉由將 CFx沉積物44轉換成揮發性的氣體,可除去此沉積物。 而且’如果藉由使用氫氣的蝕刻,可將C u氧化物4 3還原 成銅。 其結果可防止配線電容增大,並且可提高良率(Yield) 其次,參照圖3以及圖4說明本發明的第一實施形態 。此外,到圖22(G)爲止的製程因與習知的情形一樣,故 省略其說明。 若有機絕緣膜32的蝕刻終了的話如圖3(A)所示,以 熟化砂膜3 4爲罩幕使用,藉由使用氟系氣體的電漿蝕刻 通過開□ 34a蝕刻氧化矽膜33爲配線形狀形成開口 33b。 在此蝕刻的進行中有機絕緣膜32是作爲罩幕使用,通過 有機絕緣膜3 2的開口 3 2a其下的氧化矽膜3 1也被鈾刻, -20 - (16) 1263303 據此,在氧化矽膜3 1也同時形成有開口 3 1 a。 其次如圖3 ( B)所示以氧化矽膜31爲罩幕使用,藉由 使用C%F8氣體與〇2氣體的電漿蝕刻,鈾刻開口 31a下的 氮化矽膜3 0在該處形成開口 3 0a。氮化矽膜3 0的開口 3 0 a與氧化矽膜3 1的開口 3 1 a是作爲接觸介層孔而使用, 在其下露出第一配線層的配線的一部分。 此時,氧化矽膜3 1因被有機絕緣膜3 2保護,故溝渠 的產生被防止。 接著若通過氧化矽膜33的開口 33a藉由氫或氧電漿 鈾刻有機絕緣膜3 2的話,有機絕緣膜3 2被形成配線形狀 的圖案,在該處形成有如圖3(C)所示的配線用開口 32b。 此有機絕緣膜3 2的配線用開口 3 2b與氧化矽膜3 3的配線 用開口 33b —起當作第二配線層的配線溝槽使用。 此外,在利用氫或氧的電漿蝕刻因可得到對氧化矽膜 3 1高選擇比,故可防止溝渠的發生。而且在圖3 ( B)所示 的製程雖然沉積CFx沉積物,而且在銅膜26生成有Cu氧 化物,但在圖3(C)的製程中若進行利用氫或氧的電漿蝕刻 的話,藉由將CFx沉積物轉換成揮發性的氣體,可除去此 沉積物。而且,如果藉由使用氫氣的蝕刻,可將C u氧化 物還原成銅。 其次如圖4(D)所示,在藉由以上的製程形成的凹部的 內壁面,藉由濺鍍形成50nm厚的由TiN或TaN構成的阻 障金屬膜3 7。 接著如圖4(E)所示,藉由濺鍍形成lOOnm厚的銅膜 (17) 1263303 38的下半部後,在其上利用電解電鍍法以i5〇〇nm厚形成 銅膜3 8的上半部。而且,以400 °C在氫環境下對銅膜3 8 進行3 0分鐘的回火處理。此外,回火處理是爲了在銅膜 3 8內使粒子成長以提高配線的可靠度而進行。 其次如圖4 (F)所示利用C Μ P法硏磨銅膜3 8,據此, 使銅膜3 8僅殘留於第二配線層的配線溝槽與接觸介層孔 內。而且,令第二配線溝槽內的銅膜(銅膜3 8的上半部) 爲配線而使用,而且令殘留於接觸介層孔內的銅膜(銅膜 3 8的下半部)爲插塞而使用。 如果依照以上說明的本發明的第一實施形態,與習知 的方法比較因可抑制溝渠以及溝壁內凹的發生,故可防止 配線間的電容增大。 而且,因可除去C F X沉積物可將C u氧化物還原成銅 ,故可提高良率。 再者,因可阻止阻障金屬的不連續成長點,故可防止 銅被擴散使配線短路。 圖5是比較習知法與利用本發明形成的溝渠以及溝壁 內凹的量的圖。此外,溝渠量與溝壁內凹量如圖6所示而 定義。即溝渠量是距氧化矽膜31的頂面的距離,而且, 溝壁內凹量是由氧化矽膜3 3的內壁面到溝壁內凹的最深 部的距離。 而且在圖5中,[周邊]是指顯示晶圓的周邊部。而且 ,[中心]是指顯不晶圓的中心。 由圖5得知若比較習知的方法的話’在本發明可減少 -22- (18) 1263303 溝渠以及溝壁內凹的雙方。由一例得知在[Center]附近在 習知法溝渠約3 6nm溝壁內凹約發生1 2.5 nm,但在本發明 溝渠約2 2 · 5 n m溝壁內凹約減少3 n m左右。 再者,在習知的方法如圖25所示在阻障金屬37形成 有不連續成長點4 2,此爲原因有使銅膜3 8被擴散配線短 路的情形,惟在本發明因可抑制成爲不連續成長點42的 原因的溝壁內凹的發生,故可防止因這種原因使配線短路 〇 此外,若依照本發明者的實驗,如圖7所示明暸溝壁 內凹量若爲1 〇nm以上的話,形成有不連續成長點的機率 高。因此,使溝壁內凹量爲10nm以下而設定各種參數等 較佳。 因此,如圖8所示在令氧化矽膜3 3的內壁面的寬爲 W1,溝壁內凹發生的有機絕緣膜3 2的最深部的寬爲W2 的情形,在W1與W2之間使(W2-W1)/2S l〇nm的關係成 立藉由設定蝕刻時間等的各種參數,可防止不連續成長點 的形成。 其次,參照圖9〜圖1 2說明利用本發明的第二實施形 態的半導體裝置的製造方法。 如圖9 (A)所示在本發明的第二實施形態,關於矽基板 21、氧化矽膜22、有機絕緣膜23、氧化矽膜24、阻障金 屬膜2 5、銅膜2 6以及氮化矽膜3 0與第一實施形態的情 形一樣藉由前述製程形成。 其次在第二實施形態,於氮化矽膜3 0之上藉由旋塗 -23- (19) 1263303 形成1 0 0 0 n m厚的有機絕緣膜6 0。此情形有機絕緣膜3 2 例如使用前述SiLK。 接著,在有機絕緣膜60上利用電漿CVD法形成 100nm厚的氧化矽膜61。再者,於氧化矽膜61之上利用 電漿CVD法形成膜厚i〇〇nm的氮化矽膜62後,藉由與圖 20(C)—樣的製程,在氮化矽膜62形成具有相當於第二配 線層的形狀的配線用開口 62a。 其次如圖9(B)所示,在氮化矽膜62之上與其開口 62a之中塗佈光阻膜63,藉由曝光、顯影此光阻膜63,在 光阻膜63形成在配線用開口 62a之中面對第一配線層的 一部分的窗。此窗具有相當於接觸介層的形狀。而且如圖 9(C)所示,通過光阻膜63的窗蝕刻氧化矽膜61,據此, 开^成相當於接觸介層的形狀的開口 6 1 a。 在該蝕刻完成的狀態下如圖10(D)所示,藉由使用氧 與氬的非等向性電漿蝕刻,通過開口 6 1 a蝕刻有機絕緣膜 6〇 ’在該處形成開口 60a。在此鈾刻時,光阻膜63並行被 蝕刻除去。因此,無須獨立除去光阻膜63的製程,而且 ’無有機絕緣膜60不必要地被蝕刻。此外,取代氧與氬 使用氫(H〇氣或氨(NH3)氣進行蝕刻也可以。 其次如圖10(E)所示以氮化矽膜62爲罩幕使用,藉由 使用氟系氣體的電漿蝕刻通過開口 62a触刻氧化矽膜6 1 成配線形狀以形成開口 6 1 b。 其次如圖10(F)所示以有機絕緣膜60爲罩幕使用,藉 由使用C4F8氣體與⑴氣體的電漿鈾刻,蝕刻開口 60a下 -24- (20) 1263303 的氮化砂膜3 0在該處形成開口 3 0 a。氮化矽膜3 0的開口 3 0a與有機絕緣膜60的開口 60a是作爲接觸介層孔而使用 ’在其下露出第一配線層的配線的一部分。而且此時氮化 矽膜62也並行被除去。 接著通過氧化矽膜6 1的開口 6 1 b藉由氫或氧電漿蝕 刻有機絕緣膜60 —定時間的話,有機絕緣膜60被形成配 線形狀的圖案,在該處形成有如圖1 1 (G)所示的配線用開 口 60b。此有機絕緣膜60的配線用開口 60b與氧化矽膜 6 1的配線用開口 6 1 b —起當作第二配線層的配線溝槽使 用。 此外,在本發明的第二實施形態因於進行對氮化矽膜 30、62的蝕刻後,用以進行對有機絕緣膜60的蝕刻,故 可防止溝渠的發生,而且,SiLK的溝壁內凹也能減小。 而且在圖10(F)所示的製程雖然沉積CFx沉積物,而且在 銅膜26生成有Cu氧化物,但在圖11(G)的製程中若進行 藉由氧或氫的電漿蝕刻的話,藉由將CFx沉積物轉換成揮 發性的氣體,可除去此沉積物。而且,如果藉由使用氫氣 的鈾刻,可將Cu氧化物還原成銅。 其次如圖1 1 (H)所示,在如以上形成的凹部的內壁面 藉由濺鍍形成50nm厚的由TiN或TaN構成的阻障金屬膜 64。接著如圖1 1(1)所示,藉由濺鍍形成l〇〇nm厚的銅膜 65的下半部後,在其上利用電解電鍍法以1 500nm厚形成 銅膜65的上半部。而且,以400°C在氫環境下對銅膜65 進行3 0分鐘的回火處理。此外,回火處理如前述是爲了 -25- (21) 1263303 在銅膜65內使粒子成長以提高配線的可靠度而進行。 其次如圖12(J)所示利用CMP法硏磨銅膜65,據此, 使銅膜65僅殘留於第二配線層的配線溝槽與接觸介層孔 內。而且,令第二配線溝槽內的銅膜(銅膜65的上半部) 爲配線而使用,而且令殘留於接觸介層孔內的銅膜(銅膜 65的下半部)爲插塞而使用。 如此,即使將氧化矽膜3 1以及有機絕緣膜3 2置換成 有機絕緣膜60的情形,藉由將鈾刻氮化矽膜30、62的製 程拿到有機絕緣膜60的鈾刻製程前,可防止溝渠以及溝 壁內凹的發生,其結果可防止起因於溝渠以及溝壁內凹的 發生使配線間的電容增大。 而且,可除去因氮化矽膜 30、62的蝕刻而生成的 CFx沉積物,並且可將Cu氧化物還原成銅。其結果可提 高良率。 再者,藉由防止溝壁內凹的發生,阻止不連續成長點 的形成’可防止銅膜6 5的擴散造成的配線短路於未然。 其次’參照圖1 3〜圖1 7說明本發明的第三實施形態 〇 首先如圖13(A)〜(C)所示,在下側配線層之上形成複 數層絕緣膜以及金屬膜等。此外,如圖1 3 (A)所示對於下 個1配線層的形成方法因與習知的情形一樣故省略其說明。 其次如圖13(B)所示,在銅膜26以及氧化矽膜24之 上形成如以下所述的複數層絕緣膜、金屬膜等。即在銅膜 26與氧化矽膜24之上利用電漿CVD法形成膜厚50nm的 -26- (22) 1263303 氮化砂膜3 0 °而且’在氮化矽膜3 0之上藉由旋塗形成 4〇〇nm厚的有機絕緣膜8〇。此外有機絕緣膜8〇例如使用 SiLK或其他的絕緣材料。 接著’在有機絕緣膜80上利用電漿CVD法形成 1 0 0 n m厚的氧化砂膜8 1。再者,於氧化砂膜81之上利用 旋塗形成400nm厚的有機絕緣膜82。此外,有機絕緣膜 82與有機絕緣膜80的情形一樣使用SiLK或其他的絕緣 材料。 接著’在有機絕緣膜82上利用電漿CVD法形成 100nm厚的氧化矽膜83。再者,於氧化矽膜83之上利用 電漿CVD法形成膜厚ι〇〇ηιη的氮化矽膜84。 在如以上的膜的形成完成後如圖13(C)所示,在氮化 矽膜84之上塗佈光阻膜85,曝光、顯影此光阻膜85以 形成窗。 而且如圖14(D)所示,藉由以光阻85爲罩幕使用的微 影法在氮化矽膜84形成具有相當於第二配線層的形狀的 配線用開口 84a。而且,藉由氧電漿灰化光阻膜85以除去 此光阻。 其次如圖14(E)所示,在氮化矽膜84之上與其開口 84a之中塗佈光阻膜86。此外此時光阻膜86與圖21(E)的 情形比較,用以使其膜厚厚而形成。在光阻膜86的塗佈 完了後,藉由曝光、顯影此光阻膜86,在光阻膜86形成 在配線用開口 84a之中面對第一配線層的一部分的窗。此 窗具有相當於接觸介層的形狀。而且如圖14(F)所示,通 (23) 1263303 過光阻膜8 6的窗蝕刻氧化矽膜8 3,據此,形成相當於接 觸介層的形狀的開口 83a。 在該蝕刻完成的狀態下如圖1 5 (G)所示,藉由使用氧 與氬的非等向性電漿鈾刻,通過開口 8 3 a蝕刻有機絕緣膜 8 2,在該處形成開口 8 2a。在此蝕刻時,光阻膜8 6並行被 鈾刻,但如前述此光阻膜8 6與圖2 1 (E)的情形比較,因其 膜厚厚故僅一部分被除去。 其次如圖15(H)所示以剩餘的光阻膜86爲罩幕使用, 藉由使用氟系氣體的電漿蝕刻通過開口 82a蝕刻氧化矽膜 8 1成配線形狀以形成開口 8 1 a。 其次如圖1 5 (I)所示藉由使用氧與氬的非等向性電漿 蝕刻,通過開口 81a、82a蝕刻有機絕緣膜80,在該處形 成開口 80a。在此蝕刻時光阻膜86並行被蝕刻,光阻膜 8 6被除去。 接著如圖16U)所示通過氮化矽膜84的開口 84a,藉 由使用氟系氣體的電漿蝕刻蝕刻氧化矽膜83成配線形狀 以形成開口 83b。 其次如圖16(K)所示以有機絕緣膜80爲罩幕使用,藉 由使用C%F8氣體與〇2氣體的電漿蝕刻,藉由鈾刻開口 80a下的氮化矽膜30在該處形成開口 30a。氮化矽膜30 的開口 30a與有機絕緣膜80的開口 80a是作爲接觸介層 孔而使用,在其下露出第一配線層的配線的一部分。 其次如圖16(L)所示若通過氧化矽膜83的開口 83b藉 由氫或氧電漿鈾刻有機絕緣膜82的話,有機絕緣膜82被 -28- (24) 1263303 形成配線形狀的圖案’在該處形成有如圖1 6(L)所示的配 線用開口 8 2 b。此有機絕緣膜8 2的配線用開口 8 2 b與氧化 矽膜8 3的配線用開口 8 3 b —起當作第二配線層的配線溝 槽使用。 此外,在第三實施形態因於進行對氮化矽膜3 0、84 的鈾刻後,用以進行對有機絕緣膜8 2的鈾刻’故可防止 溝壁內凹的發生,而且,因用以在有機絕緣膜8 2之下配 置氧化矽膜81,故可防止溝渠的發生。再者’在圖16(K) 所示的製程雖然沉積CFx沉積物,而且在銅膜26生成有 Cu氧化物,但在圖16(L)的製程中若進行利用氧或氫的電 漿鈾刻的話,藉由將CFx沉積物轉換成揮發性的氣體’可 除去此沉積物。而且,如果藉由使用氫氣的蝕刻’可將 Cu氧化物還原成銅。 在如以上形成的凹部的內壁面如圖17(M)所示藉由濺 鍍形成50nm厚的由ΤιΝ或TaN構成的阻障金屬膜87。接 著如圖17(N)所示,藉由濺鍍形成l〇〇nm厚的銅膜88的下 半部後,在其上利用電解電鍍法以1 500nm厚形成銅膜88 的上半部。而且,以400°C在氫環境下對銅膜88進行30 分鐘的回火處理。此外,回火處理如前述是爲了在銅膜 8 8內使粒子成長以提高配線的可靠度而進行。 其次如圖17(0)所示利用CMP法硏磨銅膜88,據此, 使銅膜8 8僅殘留於第二配線層的配線溝槽與接觸介層孔 內。而且,令第二配線溝槽內的銅膜(銅膜8 8的上半部) 爲配線而使用,而且令殘留於接觸介層孔內的銅膜(銅膜 -29- (25) 1263303 8 8的下半部)爲插塞而使用。 如此,即使將氧化矽膜3 1以及有機絕緣膜3 2置換成 有機絕緣膜8 0、氧化砂膜8 1以及有機絕緣膜8 2的情形 ,藉由將鈾刻氮化砂膜3 0、8 4的製程拿到有機絕緣膜8 2 的蝕刻製程前,可防止溝渠以及溝壁內凹的發生。而且, 可除去因氮化矽膜3 0、8 4的蝕刻而生成的c F X沉積物, 並且可將C u氧化物還原成銅。其結果可防止因溝壁內凹 或溝渠使配線電容增大,並且可提高良率。 此外,在以上所示的第一〜第三實施形態雖然使用氮 化矽(氮化矽膜30、34、62、84),惟取代氮化矽使用碳化 矽也可以。 而且在第二實施形態,如圖9(A)所示雖然用以在氧化 矽膜6 1之上形成氮化矽膜6 2,惟如圖1 8 (A)所示在氮化 矽膜62之上形成氧化矽膜6 1也可以。 而且如圖1 8 ( B)所示,取代如圖1 8 (A)所示的氮化砂膜 30、62使用SiC膜(碳化氮膜)90、91也可以。 圖19是使用本發明的第一實施形態形成的裝置 (Device)的剖面圖。在第一實施形態雖然關於第一與第二 配線顯示其形成方法,惟如圖1 9所示對於比第二配線層 還上層,藉由重複與前述的情形一樣的製程,可形成具有 多層構造的配線。 再者,對於第二以及第三實施形態的情形,同樣地藉 由重複前述的製程,可形成具有多層構造的配線。 如以上所說明,在本發明因藉由設置包含: -30- (26) 1263303 在半導體基板上依次形成蓋膜、第一絕緣膜、有機絕 緣膜、第二絕緣膜、罩幕材的製程; 部分地蝕刻該罩幕材’形成具有配線圖案形狀的第一 開口的製程; 鈾刻該第二絕緣膜之中在該第一開口的一部分重疊的 部分,形成具有介層圖案形狀的第二開口的製程; 通過該第一絕緣膜的該第二開口,触刻該有機絕緣膜 ,於該有機絕緣膜形成具有該介層圖案形狀的第三開口的 製程; 藉由通過該罩幕材的該第一開口鈾刻該第二絕緣膜, 於該第二絕緣膜形成具有該配線圖案形狀的第四開口,同 時藉由通過該有機絕緣膜的該第三開口蝕刻該第一絕緣膜 ,於該第一絕緣膜形成具有該介層圖案形狀的第五開口的 製程; 通過該第一絕緣膜的該第五開口鈾刻該蓋膜,於該蓋 膜形成具有該介層圖案形狀的第六開口,令該第六開口與 該第五開口爲介層孔而適用,同時除去該罩幕材的製程; 通過該第二絕緣膜的該第四開口蝕刻該有機絕緣膜, 於該有機絕緣膜形成具有該配線圖案形狀的第七開口,令 該第七開口與該第四開口爲配線溝槽而適用的製程;以及 藉由同時埋入導電體於該介層孔與該配線溝槽,在該 介層孔內形成介層,並且在該配線溝槽內形成配線的製程 ,故可防止溝壁內凹以及溝渠的形成。 而且如以上所說明,在本發明因藉由設置包含: -31 - (27) 1263303 在半導體基板上依次形成蓋膜、有機絕緣膜、絕緣膜 、罩幕材的製程; 部分地鈾刻該罩幕材’形成具有配線圖案形狀的第一 開口的製程; 鈾刻該第二絕緣膜之中在該第一開口的一部分重疊的 部分,形成具有介層圖案形狀的第二開口的製程; 通過該絕緣膜的該第二開口鈾刻該有機絕緣膜,於該 有機絕緣膜形成具有該介層圖案形狀的第三開口的製程; 藉由通過該罩幕材的該第一開口鈾刻該絕緣膜,於該 絕緣膜形成具有該配線圖案形狀的第四開口的製程; 藉由通過該有機絕緣膜的該第三開口蝕刻該蓋膜,於 該蓋膜形成具有該介層圖案形狀的第五開口,令該第五開 口與5亥弟二開口爲介層孔而適用’问時除去該罩幕材的製 程; 藉由通過該絕緣膜的該第四開口蝕刻該有機絕緣膜, 於該有機絕緣膜形成具有該配線圖案形狀的第六開口,令 該第六開口與該第四開口爲配線溝槽而適用的製程;以及 藉由同時埋入導電體於該介層孔與該配線溝槽,在該 介層孔內形成介層,並且在該配線溝槽內形成配線的製程 ,故可提高良率。 再者如以上所說明,在本發明因藉由設置包含: 在半導體基板上依次形成蓋膜、第一有機絕緣膜、第 一絕緣膜、第二有機絕緣膜、第二絕緣膜、罩幕材的製程 -32- (28) 1263303 部分地蝕刻該罩幕材,形成具有配線圖案形狀的第一 開口的製程; 蝕刻該第二絕緣膜之中在該第一開口的一部分重疊的 部分,形成具有介層圖案形狀的第二開口的製程; _ 通過該第二絕緣膜的該第二開口,蝕刻該第二有機絕 . 緣膜,於該第二有機絕緣膜形成具有該介層圖案形狀的第 三開口的製程; 通過該第二有機絕緣膜的該第三開口蝕刻該第一絕緣 φ 膜,於該第一絕緣膜形成具有該介層圖案形狀的第四開口 的製程; 通過該第一絕緣膜的該第四開口蝕刻該第一有機絕緣 膜’於該第一有機絕緣膜形成具有該介層圖案形狀的第五 開口的製程; 通過該罩幕材的該第一開口蝕刻該第二絕緣膜,於該 第二有機絕緣膜形成具有該配線圖案形狀的第六開口的製 程; 鲁 通過該第一有機絕緣膜的該第五開口蝕刻該蓋膜,於 該蓋膜形成具有該介層圖案形狀的第七開口,令該第七開 口與該第四開口以及該第五開口爲介層孔而適用,同時除 去該罩幕材的製程; 通過該第二絕緣膜的該第六開口蝕刻該第二有機絕緣 膜’於該第二有機絕緣膜形成具有該配線圖案形狀的第Λ 開口,令該第八開口與該第六開口爲配線溝槽而適用的製 程;以及 -33 - (29) 1263303 藉由同時埋入導電體於該介層孔與該配線溝槽,在該 介層孔內形成介層,並且在該配線溝槽內形成配線的製程 ,故可防止配線電容的增加,並且可防止配線短路。 以上僅是顯示本發明的原理而已。再者,多數的變形 、變更對熟習該項技術者爲可能,本發明如上述所示,並 非限定於所說明的正確的構成以及應用例,應對應的所有 變形例以及均等物可視爲添附的申請專利範圍及其均等物 所涵蓋的本發明的範圍。 【圖式簡單說明】 圖1是說明利用習知中的雙道金屬鑲嵌法的半導體裝 置的製造方法的槪略圖。 圖2是說明利用本發明的半導體裝置的製造方法的槪 略圖。 圖3是說明利用本發明的第一實施形態的半導體裝置 的製造方法的圖。 圖4是說明利用本發明的第一實施形態的半導體裝置 的製造方法的圖。 圖5是比較習知法與利用本發明形成的溝渠以及溝壁 內凹的量的圖。 圖6是顯示溝渠量與溝壁內凹量的定義圖。 圖7是顯不溝壁內凹量與不連續成長點的形成的關係 圖 圖8是顯示W1以及W2的定義圖。 (30) 1263303 圖9是說明利用本發明的第二實施形態的半導體裝置 的製造方法的圖。 圖1 0是說明利用本發明的第二實施形態的半導體裝 置的製造方法的圖。 ' 圖1 1是說明利用本發明的第二實施形態的半導體裝 _ 置的製造方法的圖。 圖1 2是說明利用本發明的第二實施形態的半導體裝 置的製造方法的圖。 ® 圖1 3是說明利用本發明的第三實施形態的半導體裝 置的製造方法的圖。 圖1 4是說明利用本發明的第三實施形態的半導體裝 置的製造方法的圖。 圖1 5是說明利用本發明的第三實施形態的半導體裝 置的製造方法的圖。 圖1 6是說明利用本發明的第三實施形態的半導體裝 置的製造方法的圖。 ® 圖1 7是顯示第二實施形態的變形實施樣態圖。 圖1 8是顯示第二實施形態的其他變形實施樣態圖。 圖1 9是顯示使用第一實施形態而形成的裝置的剖面 圖。 圖20是說明利用習知中的雙道金屬鑲嵌法的半導體 裝置的製造方法圖。 圖2 1是說明利用習知中的雙道金屬鑲嵌法的半導體 裝置的製造方法圖。 - 35- (31) 1263303 圖22是說明利用習知中的雙道金屬鑲嵌法的半導體 裝置的製造方法圖。 圖23是說明利用習知中的雙道金屬鑲嵌法的半導體 裝置的製造方法圖。 ~ 圖24是說明利用習知中的雙道金屬鑲嵌法的半導體 - 裝置的製造方法圖。 圖25是用以說明不連續成長點的詳細圖。 【符號說明】 2 1 :矽基板 22、 24、33、61、81、83:氧化矽膜 23、 32、60、80、82:有機絕緣膜 25、37:阻障金屬膜 2 6、3 8、6 5、8 8 :銅膜 30、34、62、84:氮化矽膜 30a、31a、32a、32b、33a、33b、34a、60a、60b、61a、 ® 61b、62a、80a、81a、82a、82b、83a、83b、84a:開口 3 5 :光阻 3 6、6 3、8 6 :光阻膜 40:溝渠 4 1 :溝壁內凹 4 2 :不連續成長點 43: Cu氧化物 44: CFx沉積物 -36- (32)1263303 6 2、8 4 :罩幕材 80:第一有機絕緣膜 8 2 :第二有機絕緣膜
-37-

Claims (1)

  1. (1) 1263303 拾、申請專利範圍 1 · 一種半導體裝置的製造方法,其特徵包含: 在半導體基板上依次形成蓋膜、第一絕緣膜、有機絕 緣膜、第二絕緣膜、罩幕材的製程; 邰分地鈾刻該罩幕材,形成具有配線圖案形狀的第一 - 開口的製程; 鈾刻該第二絕緣膜之中在該第一開口的一部分重疊的 部分,形成具有介層圖案形狀的第二開口的製程; 馨 通過該第二絕緣膜的該第二開口,蝕刻該有機絕緣膜 ,於該有機絕緣膜形成具有該介層圖案形狀的第三開口的 製程; 藉由通過該罩幕材的該第一開口蝕刻該第二絕緣膜, 於該第二絕緣膜形成具有該配線圖案形狀的第四開口,同 時藉由通過該有機絕緣膜的該第三開口蝕刻該第一絕緣膜 ,於該第一絕緣膜形成具有該介層圖案形狀的第五開口的 製程; 籲 通過該第一絕緣膜的該第五開口蝕刻該蓋膜,於該蓋 膜形成具有該介層圖案形狀的第六開口,令該第六開□與 該第五開口爲介層孔而適用,同時除去該罩幕材的製程; 通過該第二絕緣膜的該第四開口蝕刻該有機絕緣膜, 於該有機絕緣膜形成具有該配線圖案形狀的第七開□,令 該第七開口與該第四開口爲配線溝槽而適用的製程;以及 藉由同時埋入導電體於該介層孔與該配線溝槽,在該 介層孔內形成介層,並且在該配線溝槽內形成配線的製程 -38- (2) 1263303 如申請專利範圍第1項所述之丰導體裝置的製造 法’茸中該第七開口是藉由利用氫(Η2)氣或氨(NH〇氣或 ㈡=m趣的混合氣體的電漿鈾刻而形成。 3 ·如申請專利範圍第1項所述之半導體裝置的製造 方法’茸中在令形成於該有機絕緣膜的該第七開口的側壁 間的最次寬爲W2,令形成於該第二絕緣膜的該第四開口 的側壁_的最大寬爲W 1的情形,W 1 ^ W 2的關係成立。 4 ·如申請專利範圍第3項所述之半導體裝置的製造 方法’其中在該W1與該W2之間(W2-Wl)/2Sl〇nm的關 係成立。 5 ·如申請專利範圍第1項所述之半導體裝置的製造 方法’其中該罩幕材以及該蓋膜是由氮化矽或碳化矽構成 〇 6 ·如申請專利範圍第1項所述之半導體裝置的製造 方法’其中該有機絕緣膜是由碳化氫系聚合物、烯丙醚系 聚合物 '有機SOG、無機S0G或氧碳化矽(SiOC)的任一個 構成。 7 ·〜種半導體裝置的製造方法,其特徵包含: 在半導體基板上依次形成蓋膜、有機絕緣膜、絕緣膜 、罩幕材的製程; 部分地蝕刻該罩幕材,形成具有配線圖案形狀的第一 開口的製程; 鈾刻該第二絕緣膜之中在該第一開口的一部分重疊的 -39- (3) 1263303 部分’形成具有介層圖案形狀的第二開口的製程; 通過該絕緣膜的該第二開口蝕刻該有機絕緣膜,於該 有機絕緣膜形成具有該介層圖案形狀的第三開口的製程; 藉由通過該罩幕材的該第一開口鈾刻該絕緣膜,於該 絕緣膜形成具有該配線圖案形狀的第四開口的製程; 藉由通過該有機絕緣膜的該第三開口蝕刻該蓋膜,於 該盖膜形成具有該介層圖案形狀的第五開口,令該第五開 口與該第三開口爲介層孔而適用,同時除去該罩幕材的製 程; 藉由通過該絕緣膜的該第四開口鈾刻該有機絕緣膜, 於該有機絕緣膜形成具有該配線圖案形狀的第六開口,令 該第六開口與該第四開口爲配線溝槽而適用的製程;以及 藉由同時埋入導電體於該介層孔與該配線溝槽,在該 介層孔內形成介層,並且在該配線溝槽內形成配線的製程 〇 8 ·如申請專利範圍第7項所述之半導體裝置的製造 方法,其中該第六開口是藉由利用氫(H2)氣或氨(NH3)氣的 電漿鈾刻而形成。 9.如申請專利範圍第7項所述之半導體裝置的製造 方法,其中在令形成於該有機絕緣膜的該第六開口的側壁 間的最大寬爲W2,令形成於該絕緣膜的該第四開口的側 壁間的最大寬爲W1的情形,Wl - W2的關係成立。 1 0.如申請專利範圍第8項所述之半導體裝置的製造 方法,其中在該W1與該W2之間(W2-Wl)/2$ l〇nm的關 (4) 1263303 係成立。 1 1 ·如申請專利範圍第7項所述之半導體裝置的製造 方法,其中該罩幕材以及蓋膜是由氮化砂或碳化砂構成。 1 2.如申請專利範圍第7項所述之半導體裝置的製造 方法,其中g亥有機絕緣膜是由碳化氫系聚合物、儲丙醚系 聚合物、有機S〇G、無機S〇G或氧碳化砂(S i〇C)的任一個 構成。 13· —種半導體裝置的製造方法,其特徵包含: 在半導體基板上依次形成蓋膜、第一有機絕緣膜、第 一絕緣膜、第二有機絕緣膜、第二絕緣膜、罩幕材的製程 部分地蝕刻該罩幕材,形成具有配線圖案形狀的第一 開口的製程; 蝕刻該第一絕緣膜之中在該第一開口的一部分重疊的 部分,形成具有介層圖案形狀的第二開口的製程; 通過該第二絕緣膜的該第二開口,蝕刻該第二有機絕 緣膜,於該第二有機絕緣膜形成具有該介層圖案形狀的第 三開口的製程; 通過該第二有機絕緣膜的該第三開口蝕刻該第一絕緣 膜,於該第一絕緣膜形成具有該介層圖案形狀的第四開口 的製程; 通過該第一絕緣膜的該第四開口蝕刻該第一有機絕緣 膜,於該第一有機絕緣膜形成具有該介層圖案形狀的第五 開口的製程; -41 - (5) 1263303 通過該罩幕材的該第一開口蝕刻該第二絕緣膜,於該 第二有機絕緣膜形成具有該配線圖案形狀的第六開口的製 程; 通過該第一有機絕緣膜的該第五開口蝕刻該蓋膜,於 該藎膜形成具有該介層圖案形狀的第七開口,令該第七開 口與該第四開口以及該第五開口爲介層孔而適用,同時除 去該罩幕材的製程; 通過該第二絕緣膜的該第六開口鈾刻該第二有機絕緣 膜’於該第二有機絕緣膜形成具有該配線圖案形狀的第八 開Q,令該第八開口與該第六開口爲配線溝槽而適用的製 程;以及 藉由同時埋入導電體於該介層孔與該配線溝槽,在該 介層孔內形成介層,並且在該配線溝槽內形成配線的製程 〇 1 4 ·如申請專利範圍第1 3項所述之半導體裝置的製 造方法,其中該第八開口是藉由利用氫(H2)氣或氨(NH3)氣 的電漿蝕刻而形成。 1 5 .如申請專利範圍第1 3項所述之半導體裝置的製 造方法,其中在令形成於該第二有機絕緣膜的該第八開口 的側壁間的最大寬爲W2,令形成於該第二絕緣膜的該第 六開□的側壁間的最大寬爲W 1的情形,W 1 2 W 2的關係 成立。 1 6 ·如申請專利範圍第1 5項所述之半導體裝置的製 造方法,其中在該W1與該W2之間(W2-W1)/2S l〇nm的 (6) 1263303 關係成立。 1 7 .如申請專利範圍第1 3項所述之半導體裝置的製 造方法,其中該罩幕材以及蓋膜是由氮化矽或碳化矽構成 〇 1 8 .如申請專利範圍第1 3項所述之半導體裝置的製 . 造方法,其中該第一有機絕緣膜以及該第二有機絕緣膜是 由碳化氫系聚合物、烯丙醚系聚合物、有機SOG、無機 SOG或氧碳化矽(SiOC)的任一個構成。 ·
    -43-
TW092100799A 2002-02-28 2003-01-15 Manufacturing method of semiconductor device TWI263303B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002054304A JP4293752B2 (ja) 2002-02-28 2002-02-28 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW200303599A TW200303599A (en) 2003-09-01
TWI263303B true TWI263303B (en) 2006-10-01

Family

ID=27764392

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092100799A TWI263303B (en) 2002-02-28 2003-01-15 Manufacturing method of semiconductor device

Country Status (6)

Country Link
US (1) US7163887B2 (zh)
JP (1) JP4293752B2 (zh)
CN (1) CN100336199C (zh)
AU (1) AU2003248343A1 (zh)
TW (1) TWI263303B (zh)
WO (1) WO2003073498A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100912321B1 (ko) * 2003-12-04 2009-08-14 도쿄엘렉트론가부시키가이샤 반도체 기판 도전층 표면의 청정화 방법
JP5172069B2 (ja) 2004-04-27 2013-03-27 富士通セミコンダクター株式会社 半導体装置
RU2257200C1 (ru) * 2004-05-12 2005-07-27 Кутушов Михаил Владимирович Лекарственное средство
JP2006128543A (ja) * 2004-11-01 2006-05-18 Nec Electronics Corp 電子デバイスの製造方法
WO2007078011A1 (ja) * 2006-01-06 2007-07-12 Nec Corporation 多層配線の製造方法と多層配線構造
US8278205B2 (en) * 2008-03-12 2012-10-02 Tokyo Electron Limited Semiconductor device and method for manufacturing the same
JP5342811B2 (ja) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法
JP2012156356A (ja) * 2011-01-27 2012-08-16 Elpida Memory Inc 半導体装置の製造方法
JP6138439B2 (ja) * 2012-09-05 2017-05-31 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
TWI552353B (zh) * 2014-12-15 2016-10-01 旺宏電子股份有限公司 半導體元件及其製造方法
US9449915B2 (en) 2014-12-24 2016-09-20 Macronix International Co., Ltd. Semiconductor device and method of manufacturing the same
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
CN112447510A (zh) * 2019-08-30 2021-03-05 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法、晶体管

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3150095B2 (ja) * 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
JPH11186391A (ja) 1997-12-25 1999-07-09 Toshiba Corp 半導体装置およびその製造方法
JP3501280B2 (ja) 1998-08-31 2004-03-02 富士通株式会社 半導体装置の製造方法
JP2000299376A (ja) * 1999-04-14 2000-10-24 Sony Corp 半導体装置及び半導体装置の製造方法
JP2001156170A (ja) * 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
US6812131B1 (en) * 2000-04-11 2004-11-02 Honeywell International Inc. Use of sacrificial inorganic dielectrics for dual damascene processes utilizing organic intermetal dielectrics
JP2002026122A (ja) * 2000-07-04 2002-01-25 Sony Corp 半導体装置の製造方法
JP2003023072A (ja) * 2001-07-06 2003-01-24 Hitachi Ltd 半導体装置の製造方法および半導体装置の製造装置
TW548736B (en) * 2001-07-12 2003-08-21 Nec Electronics Corp Semiconductor device fabricating method and treating liquid
JP4538995B2 (ja) * 2001-07-18 2010-09-08 ソニー株式会社 半導体装置およびその製造方法
US6620727B2 (en) * 2001-08-23 2003-09-16 Texas Instruments Incorporated Aluminum hardmask for dielectric etch

Also Published As

Publication number Publication date
CN1639859A (zh) 2005-07-13
CN100336199C (zh) 2007-09-05
US7163887B2 (en) 2007-01-16
WO2003073498A1 (fr) 2003-09-04
JP2003258090A (ja) 2003-09-12
AU2003248343A1 (en) 2003-09-09
TW200303599A (en) 2003-09-01
US20050020057A1 (en) 2005-01-27
JP4293752B2 (ja) 2009-07-08

Similar Documents

Publication Publication Date Title
TWI263303B (en) Manufacturing method of semiconductor device
TWI581326B (zh) 積體電路中的蝕刻停止層
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6420261B2 (en) Semiconductor device manufacturing method
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
JP5730471B2 (ja) パターン形成キャップを用いるエアギャップ形成と一体化
JP3501280B2 (ja) 半導体装置の製造方法
US7129159B2 (en) Integrated dual damascene RIE process with organic patterning layer
US20030203619A1 (en) Method of manufacturing semiconductor device
KR20050027133A (ko) 반도체장치의 제조방법
US7217663B2 (en) Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
US6881661B2 (en) Manufacturing method of semiconductor device
US6727172B1 (en) Process to reduce chemical mechanical polishing damage of narrow copper lines
TW202213456A (zh) 半導體結構的製作方法
JP3924501B2 (ja) 集積回路装置の製造方法
US6368959B1 (en) Method of manufacturing semiconductor device
US6764957B2 (en) Method for forming contact or via plug
TWI819796B (zh) 半導體結構的形成方法
JP4436606B2 (ja) 半導体装置の製造方法
JP3746231B2 (ja) ビアボトムの絶縁膜の除去方法及び半導体装置の製造方法
US20020081840A1 (en) Method of manufacturing a semiconductor device including dual-damascene process
KR100587600B1 (ko) 듀얼 다마신 공정을 이용한 금속배선 형성방법
US7842608B2 (en) Method for manufacturing semiconductor device having via plug
KR100458589B1 (ko) 반도체 소자 제조 방법
TW413899B (en) Manufacturing process of unlanded via

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees