TW467767B - Electroless metal deposition of electronic components in an enclosable vessel - Google Patents

Electroless metal deposition of electronic components in an enclosable vessel Download PDF

Info

Publication number
TW467767B
TW467767B TW088116083A TW88116083A TW467767B TW 467767 B TW467767 B TW 467767B TW 088116083 A TW088116083 A TW 088116083A TW 88116083 A TW88116083 A TW 88116083A TW 467767 B TW467767 B TW 467767B
Authority
TW
Taiwan
Prior art keywords
solution
container
metal deposition
electronic component
metal
Prior art date
Application number
TW088116083A
Other languages
English (en)
Inventor
Steven Verhaverbeke
Christopher F Mcconnell
Original Assignee
Cfmt Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cfmt Inc filed Critical Cfmt Inc
Application granted granted Critical
Publication of TW467767B publication Critical patent/TW467767B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • C23C18/30Activating or accelerating or sensitising with palladium or other noble metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • C23C18/1664Process features with additional means during the plating process
    • C23C18/1666Ultrasonics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1831Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/54Contact plating, i.e. electroless electrochemical plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/18Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material
    • H05K3/181Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating
    • H05K3/187Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating means therefor, e.g. baths, apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrochemistry (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

467767 A7 B7 五、發明説明( 相關的申請案資料 本申請案主張美國暫時專利申請案序號60/100870的 優先權日,其申請日爲1998年9月17日,該揭露在此以 參考資料方式倂入。 發明範疇‘ 本發明是有關於使用一可封閉式容器而在電子元件上 無電鑛沉積金屬的方法。更特別的是,本發明是有關於在 一可封閉式單一容器中活化電子元件的表面以及在電子元 件上無電鍍沉積金屬的溼式處理方法。 發明背景 近來’在電子元件工業中有許多種的方法用來進行沉 積或是電鍍金屬(譬如,銅,鈷,金以及鎳)。這些方法包 含’例如,化學氣相沉積法,金屬濺鍍法,電鍍法,以及 無電鍍金屬沉積法》 最近這幾年,無電鍍金屬沉積法已經越來越受歡迎, 並且在不需要使用電流的狀況中(也就是無電鍍的)在電子 元件的表面沉積金屬。無電鍍金屬沉積使用於電子組裝工 業的例子是在印刷電路板上沉積銅金屬。在半導體中,無 電鍍沉積也是使用在黏結封裝上沉積鎳金屬,以及在多晶 片模組中,無電鍍沉積用來沉積銅導線。 發明槪要 金屬的無電鍍沉積一般首先是將電子元件的表面「活 化」,此藉播種或是沉積一物質,該物質會促進在電子元 件的表面上金屬的沉積。然而’播種步驟不需要也是有可 3 本紙張尺度適用中國國家標準(CNS > A4規格(21〇乂297公疫) (請先閱讀背面之注意事項再填寫本頁)
*1T 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作杜印製 46776 7 A? B7 五、發明说明(γ) 能的。譬如,在一含有鈷’鎳,铑或是鈀的基板上’爲了 要促進金屬沉積的播種過程可以是不需要的。當有需要的 時候α.播種過程或許可以例如將電子元件浸泡在—含有播 種成份的溶液中而完成。在活化過程之後,電子元件典型 是浸泡在一含有金屬離子以及一還原劑的溶液中。該還原 劑提供該金屬離子一電子源’使得靠近或位於電子元件表 面的金屬離子可以還原成金屬,並且鍍在電子元件上。 有種種不同的金屬可以無電鍍沉積在電子元件的表面 上,譬如包括銅,鎳,鈷’金’銀,鈀,鉑,铑,鐵’鋁 ,鉅,氮化鈦,鈦,鎢,氮化鉬,氮化鎢’鈷鎢磷,或是 以上的組合物。近來特別有興趣的金屬是銅。 銅的無電鍍沉積最常進行的方式是將電子元件短暫浸 泡在一含有鈀的溶液中而將電子元件表面播種或活化。該 鈀一旦與電子元件接觸,就會沉積在導電性的金屬表面’ 譬如鋁,氮化鈦,氮化鉬,鎢,以及銅,而有氧化物存在 的表面就不會播種。 在播種過程之後,無電鍍銅的沉積典型包含一個兩步 驟的反應:在播種的導體表面的還原過程之後,離子化的 銅鍍在還原的導體表面上<典型使用在銅的無電鍍沉積的 還原劑是甲醛(cmo)。在這個例子中,第一個步驟是: CHiO + 20H·—HCOO' + Had + H2〇 + e (方程式 1) 其中Had代表吸附在表面的氫。該吸附的氫進一步可 以以下兩種方式之一反應: 2 Had-H2 (方程式 2) 4 本紙張尺度適用中國國家襟準(CNS ) A4規格(210X297公釐) {請先閲讀背面之注^#項再填窝本頁)
67767 A7 _ B7___ 五、發明説明(>)
Had+OH'-^HiO + e (方程式 3). 最好是具有水以及形成一額外電子(也就是方程式3), 因爲氫氣的形成(方程式2)會產生泡泡,並且會使後續金屬 沉積不均勻。因此,反應條件最好是有利於方程式3,例 如是高pH以及低的金屬沉積速率。一旦電子元件的表面 被還原,如果離子銅(銅是氧化狀態)出現或是靠近表面的 地方,銅就會鍍在表面上(Cu++/ Cu+在提供電子的導體表面 上還原成Cu°)。
Cu+++ 2 e-Cu° (方程式 4)
Cu++e-Cu° (方程式 5) 當在一溼式處理系統中進行無電鍍金屬沉積時,典型 地會在一含有複數個開放式水槽的系統中進行(例如一溼式 工作台)。使用這種複數個開放式水槽系統有許多的缺點。 例如,氧對無電鍍金屬沉積有影響。例如在活化過程期間 ,氧會抑制播種,並且會與一旦沉積的播種成分反應,而 在金屬沉積期間使得該播種成分失去效能。然而,在金屬 沉積步驟期間,氧可以防止金屬沉積溶液的衰退,並且可 以減緩金屬沉積的速率以達成製程的較佳控制。在一含有 複數個開放式水槽的系統中,因爲水槽是開放與外界大氣 相接觸,而且電子元件是從一水槽傳送到另一水槽中,因 此很難控制氧的含量。除此之外,由於水槽的溶液不常更 換,則因溶液中試劑濃度的分解與變動,故批次之間金屬 沉積的變化是經常會發生的。 頒給Shacham-Diamand等人的美國專利編號5830805( _______5 本紙張尺度適用中國國家標準(CNS > A4規格(2丨0 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
、1T .9 經濟部智慧財產局員工消費合作社印製 467767 A7 B7 五、發明説明(If ) 本文以後稱爲805專利)提出了一種解法’針對開放式水槽 系統中曝露於氧的問題。該805專利提出了一種無電鍍沉 積的裝置與方法,其包含在一單一封閉處理腔室中處理晶 圓,在此各種不同的流體可以依續注入該腔室中。儘管一 封閉處理腔室的使用有其優點,在805專利中所揭露的裝 置與方法也有其缺點。例如,該805專利提出在所有處理 步驟中將氧的含量減至最少(也就是沒有空氣的狀況),而 不管無電鍍金屬沉積步驟中氧的存在具有其優點。 除此之外,805專利中所揭露的裝置與方法重複使用 以及重複循環其溶液。然而,硏究發現,譬如,相對於重 複使用的活化溶液而言,新鮮的活化溶液可以明顯改善金 屬的沉積速率。參考1991年R. Palmans以及K. Maex在 Feasibility Study of Electroless Copper Deposition for VLSI, 53 Applied Surface Science中頁數344-352所提出的,在此以 參考資料方式倂入。除此之外,經由重複使用而使得溶液 濃度的變化會導致不穩定的製程結果,如同在開放式水槽 系統中一般。 本發明尋求去克服這些問題,譬如,藉著提供無電鍍 金屬沉積的方法,在此活化溶液與金屬沉積溶液最好只使 用一次,而不重複使用。本發明也提供譬如基於與電子元 件接觸的溶液型態,在無電鍍金屬沉積期間,在該溶液中 控制氧含量的方法。 發明綜述 本發明提供在一可封閉式單一容器中於電子元件表面 6 本紙珉尺度適用中國國家標準(CNS > A4規格(210X 297公釐) I Q—I (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 A7 B7 467767 五、發明説明(f) 上無電鍍沉積金屬的方法。本發明方法的優點在於活化溶 液以及金屬沉積溶液最好只與電子元件接觸一次,而不重 複使用。除此之外,本發明的方法最好提供控制溶液中氧 的含量,在此爲了穩定的製程結果這種控制是必須的。 在一實施例中,本發明的方法包含放置複數個電子元 件於一可封閉式單一容器中,並且形成一活化溶液,其包 含至少一種播種成份,其中該活化溶液實質上不含氧。然 後該活化溶液注入該容器中,在該容器中使該電子元件與 該活化溶液接觸一第一接觸時間,並且自該容器中將該活 化溶液移除。除了將該電子元件與該活化溶液接觸之外, 本發明的方法包含形成一金屬沉積溶液,其包含至少一種 金屬離子源,至少一還原劑,以及氧。然後形成的金屬沉 積溶液注入該容器中,在該容器中使該電子元件與該金屬 沉積溶液接觸一第二接觸時間,以沉積金屬在該電子元件 的表面上。在該第二接觸時間的至少一部份的期間,該電 子元件也曝露於音波能量中。在與該金屬沉積溶液接觸之 後,該金屬沉積溶液自該容器中移除。 在本發明的一較佳實施例中,該活化溶液以及該金屬 沉積溶液兩者都只使用一次。除此之外,爲了更穩定的製 程結果,活化溶液以及金屬沉積溶液最好都是在生產線中 準備好。 本發明的方法也考慮到爲了在電子元件表面上播種的 活化步驟或可不需要,如果金屬可以無電鍍的沉積而不需 要播種過程的話。 7 本紙掁尺度適用中國國家棹準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
1T 經濟部智慧財產局員工消費合作社印製 4 6 7 767 A7 B7 五、發明説明(“) 圖式簡單說明 圖1是在本發明中一有用的可封閉式單一容器系統結 構表示圖。 (請先閱讀背面之注意事項再填寫本頁) V. 丁 -=¾. 經濟部智慧財產局員工消費合作社印製 圖式元件符號說明 2 容器模組 10 可封閉式單一容器系統 12, 14 , 16 , 17 , 18 閥門 65, 78 , 79 , 81 , 82 閥門 20 處理容器 22 晶圓 23, 25 * 83 - 95 管線 24 上流體口 26 下流體口 30, 30A , 30B , 去離子水流 30C ,30D 去離子水流 32A ,32B,32C,32D 化學物質流 36A ,36B,36C,36D 混合閥門 38 處理流體 50 乾化流體模組 52 '抽取模組 53, 55 流體 54 注入模組 56 去離子水混合模組 58 氣體控制單元 8 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 67767 A7 ___B7 五、發明説明(7 ) 64 乾化流體 80 汲取抽取裝置 96 汲取管線 98 汲取裝置 發明之詳細槪說 本發明提供使用溼式處理技術在電子元件表面無電鍍 沉積金屬的方法。在此所使用"無電鍍的"或是”無電鍍地" ,其代表意義爲沉積至少一金屬在電子元件表面上,而不 需要使用電流。在此所使用〃溼式處理”,其代表意義爲電 子元件與一種或是多種的液體(在本文以下稱爲處理液體) 接觸,而以適當的方式去處理電子元件。例如,在本發明 中,電子元件最好是與至少一種活化溶液以及至少一種金 屬沉積溶液相接觸。然而,也可以適當地將電子元件與其 他的處理液體,譬如蝕刻,淸潔,或是沖洗溶液相接觸。 溼式處理也可以包含將電子元件與其他的流體接觸的步驟 ,譬如一種氣體,一種蒸氣,或是其混合物。在此所使用 到"流體11這個詞包含液體,氣體,以蒸氣型態存在的液體 ,或是其混合物。 本發明的方法對於沉積金屬在任何電子元件表面上是 有用的,其至少是含有一部分表面是可以準備或是已經準 備好來進行無電鍍金屬沉積。這些適合無電鍍金屬沉積的 電子元件表面的例子包含金屬表面’譬如鋁,氮化鈦,氮 化鉬,鎢,銅,矽,鈷,鎳,铑,鈀,或是其組合。氧化 物的存在,譬如二氧化矽,一般會抑制活化步驟以及金屬 (請先閲讀背面之注意事頃再填矿本頁)
本紙張尺度適用t國國家標準(CNS ) A4規格(210X297公釐) 467767 A7 B7 五、發明説明(<?) 沉積步驟,因此在一電子元件中想要無電鍍沉積金屬的地 方,氧化物的存在是不想要的。在本發明的方法中有用的 電子元件包含電子元件先趨物,譬如半導體晶圓,平坦面 板,以及電子裝置生產中的其他電子元件(亦即積體電路) ;光碟唯讀記憶體碟片;硬碟記憶體碟片;多晶片模組; 或是其組合物。 任何可以無電鍍沉積的金屬都可以在本發明的方法中 使用。可以無電鍍沉積的金屬包含譬如銅,鎳,鈷,金, 銀.,鈀,鉑,铑,鐵,鋁,鉬,氮化鈦,鈦,鎢,氮化鉬 ,氮化鎢,鈷鎢磷,或是以上的組合物,以及最好是銅, 鎳,鈷,金,或是以上的組合物。在一最佳實施例中,無 電鍍沉積的是銅。 對於沉積金屬在電子元件表面上,本發明的方法是特 別有用的,尤其在某些運用之中,譬如塡滿層與層之間的 連接(例如插栓(plug)以及通孔(via)),以及形成接觸。較佳 的運用包含無電鍍沉積一銅的起始層,以幫助後續的銅金 屬的電鍍。 本發明的方法有多種的好處。例如,活化步驟以及金 屬沉積步驟,在下文之中會更詳盡的描述,是在一可封閉 式單一容器中進行。在此"可封閉式單一容器",其代表著 該容器可以關閉而與外界大氣相隔絕,並且活化步驟以及 金屬沉積步驟是在相同的容器中進行,而不需要將該電子 元件移出。使用一可封閉式單一容器,得以控制在整個溼 式處理過程中該電子元件所暴露到的氧含量。這種氧含量 _10 本&張適用中國國家標準(CNS > A4規格(21GX2?7公釐) 一 (請先閱讀背面之注意事項再填寫本頁) 訂 rv. 經濟部智慈財產局8工消費合作社印製 A7 467767 五、發明説明(Υ ) 的控制可以幫助在單一批次中或是批次與批次之間產生更 均勻的結果。除此之外,當更進一步去控制和維持氧的含 量時,該包含可封閉式容器的系統最好是具有一氣體調整 單元,以便於從與電子元件相接觸的處理液體中去增加或 是減少氧的含量,在本文以後會更詳盡的描述。 本發明方法的另一種的優點是:相對於重複使用在不 同批次的電子元件,該活化溶液以及該金屬沉積溶液兩者 最好都只使用一次後棄置。相對於讓其重複循環在一相同 批次的晶圓中,該活化溶液以及該金屬沉積溶液與電子元 件的接觸最好是以一種單一流通的方式(並不重複循環)。 這種該活化溶液以及該金屬沉積溶液的只使用一次以及單 一流通的方式的使用,會產生例如減少電子元件的污染, 以及在單一批次中或是批次與批次之間電子元件金屬沉積 更均勻的結果。 在本發明的方法中,電子元件是置於一可封閉式單一 容器中。該電子元件在任何活化步驟之前,根據所需的溼 式處理技術可以選擇性地預處理。譬如,其可能想要蝕刻 或是淸洗,以便將電子元件表面的本質氧化物去除掉。在 任何的預處理步驟之後,形成一活化溶液,然後注入該容 器中。在該容器中,該電子元件與該活化溶液接觸一第一 接觸時間,然後自該容器中將該活化溶液移除。在該活化 溶液移除期間或之後的某一時間點,一金屬沉積溶液注入 該容器中。在該容器中使該電子元件與該金屬沉積溶液接 觸一第二接觸時間,以及該第二接觸時間的至少一部份當 ^------------ (請先閲讀背面之注^^項再填寫本頁)
、1T Γν_ 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 2们公釐) A7 B7 經濟部智慧財產局員工消費合作社印製 4, β 7 76 7 五、發明説明(/D) 中,該電子元件是曝露於音波能量中。在該金屬沉積溶液 移除之後,該電子元件在從該容器中移出之前,在該容器 中可以以任何所需進一步的方法進行處理。 如同以上所述,本發明的方法也考慮到在某些情況下 ,可以不需將該電子元件與該活化溶液接觸而進行本發明 方法。例如,當該電子元件的表面含有鈷’鎳,铑,鈀, 或是以上的組合物時,該電子元件與該活化溶液的接觸或 許是不需要的,如同一般相信說,金屬可以無電鍍的沉積 在這種型態的表面上而不需要播種成分的存在。 如同以上所述,該活化溶液以及該金屬沉積溶液兩者 最好都只使用一次,以單一流通的方式,並且不重複以及 循環使用。結果,爲了經濟的因素,最好是去減少每一電 子元件所需活化溶液以及金屬沉積溶液的體積。在本發明 的一較佳實施例中,該電子元件置於該容器中分開約1/2 間隔到約1M間隔。M間隔"(pitch)這個詞彙在此是參考由 SEMI機構所建立的電子元件之間的標準間距,該機構位於 Mountain View , California 〇此間隔或間距典型地因電子元 件大小不同而不同。因此,例如,爲了決定置於該容器中 電子元件之間的間距是1/4間隔,就應該先決定置於該容 器某種型態的電子元件之間的標準間距,然後再將該標準 間距乘以0.25(也就是1/4),以獲得1/4間隔間距。在本發 明的一較佳實施例中,該電子元件置於該容器中分開1/2 ’ 1/3 ’ 1/4間隔,以減少每一電子元件所需處理液體的體積 。在本發明方法中適切的間隔間距更進一步的資訊揭示在 (請先聞讀背面之注意事項再填窝本頁)
210X297公釐) 467767 經濟部智慧財產局員工消費合作社印製 A 7 ___._—___B7_ 五、發明説明(u) 美國專利申請序號09/304587,其申請日爲1999年5月4 日,在此以參考資料方式倂入。 在將該電子元件置於該容器中之後,該電子元件與一 活化溶液接觸一第一接觸時間。與該電子元件相接觸的該 活化溶液是任何液體,其包含一種播種成分可以沉積在電 子元件上,以及幫助金屬沉積在電子元件的表面上。最好 ,該活化溶液式一種水溶液型態(也就是對於其他組成而言 以水爲溶劑),但也可以包含少量的有機溶劑,譬如乙二醇 ,碳酸丙酯,或是甲醇,或是以上的組合物。熟悉此技術 領域者將會了解到有很多種的播種成分可以使用,而且該 播種成分的選擇是基於電子元件表面的組成,以及要無電 鍍沉積的金屬來決定。適當的播種成分包含例如含有鈀, 金,或是以上組合物的離子,元素,或是化合物β爲了銅 ,鎳,鈷,鈀,金,鈾,銀,或含有磷以及/或是硼的合金 或是以上組合的無電鍍沉積,最好是使用元素狀態的鈀, 或是含有鈀的離子或是化合物。 在該活化溶液中播種成分所要的濃度是基於某些因素 來決定,譬如所選擇的播種成分,所要的處理條件(例如溫 度,接觸時間)以及要處理的電子元件的組成D最好,該濃 度使得少於單一原子層的播種成分(例如鈀)沉積上去,並 且最好是每平方公分的電子元件沉積1012到ίο14的播種成 分的原子或是分子。再者,最好是有一足夠高濃度的播種 成分,使得與該活化溶液接觸的時間盡量減少D —般而言 ,該活化溶液中播種成分的一較佳濃度是從約0.0001摩爾 13 本紙張尺度適用中國國家標準(CNS > Α4規格) (請先聞讀背面之注意事項再填寫本頁)
4 經濟部智慧財產局員工消費合作社印製 S7787 A7 ______B7 五、發明説明(丨l) /升(M)到約〇·〇1Μ,較好是從約〇.〇〇〇3M到約0.007M,以 及最好是從約0.0005M到約0.004M。 除了播種成分之外,其他的成分也可以在該活化溶液 中存在。譬如,一些化合物,其可以去除或是抑制不想要 的氧化物’也是可以存在的,例如鹽酸,或蝕刻劑例如氫 氟酸。或許也想包含一些弱酸,例如醋酸。在本發明的一 較佳實施例中,該活化溶液是一種含有鈀化合物(例如氯化 鈀)或是鈀離子,氫氟酸,鹽酸,以及醋酸的水溶液。對於 銅的無電鍍沉積特別有用的活化溶液的較佳組成是列於表 1中。也請一倂參考c. H. Ting等人於1989年2月於 Selective Electroless Metal Deposition for via Holes Filling in VLSI Multilevel Interconnection Structure, J. Electrochem. Soc·, Vol. 136, No. 2, pp. 462-466中所提出的,在此以參考 資料方式倂入。 表1 :活化溶液的較佳組成 活化溶液中的組成 含量 二氯化鈀 0.05^1 到 0.8g/l 鹽酸 0,1m〗到 2ml 醋酸 100ml 到 900ml 氫氟酸(水與氫氟酸的體積比是50:1) 50ml 到 500ml 水 50ml 到 500ml 例如,一般的活化溶液或許最好包含約〇.2g/l的二氯 化鈀,約lml的鹽酸,約500ml的冰醋酸,水與氫氟酸的 體積比是50:1的氫氟酸水溶液約250ml ’以及約245m丨的 14 本紙張尺度適用中國國家標準(CNS ) A4規格(X 297公釐) (請先聞讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 67767 A7 B7 '_ 五、發明説明(D) 去離子水。 該活化溶液也可以包含其他的添加劑,譬如界面活性 劑,抗腐蝕劑,或是其他任何典型添加到活化溶液中的傳 統添加劑。這些出現在該活化溶液中的其他添加劑的含量 最好是約低於體積百分比的5%,並且最好是約低於體積百 分比的1%。可以使用的界面活性劑的例子包含陰離子的, 非離子性的,陽離子的,或是兩性的界面活性劑,其例如 揭露在John Wiley & Sons, N.Y.於1985年出版的幻化 Othmer Concise Encyclopedia of Chemical Technology,頁數 1142 到 1144 中’以及 McCutcheon’s Detergents and Emulsifiers, 1981 North American Edition, MC Publishing Company, Glen Rock,N.J. 198卜在此以參考資料方式倂入 。在本發明中一種有用之抗腐蝕劑的例子是苯並三哩。 該電子元件最好是與該活化溶液接觸一接觸時間,而 足以沉積播種成分在該電子元件的表面上,以促進金屬的 無電鍍沉積。在此所使用的"接觸時間",其代表意義爲電 子元件曝露於一處理液體的時間。例如,該接觸時間包含 :在以該處理液體塡入一容器的期間,電子元件曝露於一 處理液體的時間;該電子元件浸泡於該處理液體中的時間 ;以及將該處理液體自該容器中移出的期間,該電子元件 曝露於該處理液體的時間。真正接觸時間的選擇是基於某 些因素來決定,譬如該活化溶液中存在之播種成分,該播 種成分的濃度,以及該活化溶液的溫度。最好,該接觸時 間是一段時間,使得少於單一原子層的播種成分(例如鈀) 15 本紙張又度適用家標準(CNS ) A4規格(2丨0X297公楚1 ~ ' (請先閲讀背面之注意事項再填寫本頁) > 訂 -9 經濟部智慧財產局員工消費合作社印製 - 7 78 7 1 A7 _B7 ___ 五、發明说明(/ΐ) 沉積上去,並且最好是每平方公分沉積1012到1〇Μ的播種 成分的原子或是分子。爲了去達成這種播種的量,該接觸 時間最好是從約1秒到約60秒,以及更好是從約5秒到約 30秒。 在接觸期間,該活化溶液的溫度時使得電子元件表面 的播種成分的沉積可以是有效地進行。最好該活化溶液的 溫度是低於60° C,以及更好是從約15° C到約40° C。 這種電子元件與活化溶液的接觸可以使用熟悉該技術 領域者所知的任何方式,於可封閉式單一容器中進行。例 如,電子元件是置於容器之中,然後該活化溶液可以導入 該容器中將其注滿,以達到接觸的效果。接觸可以是在動 態狀況下進行(例如將溶液連續導入一含有電子元件的容器 中),在靜態狀況下進行(例如將電子元件浸泡於該處理溶 液之中),或是兩者的組合(例如將溶液連續導入容器一段 時間,然後將電子元件浸泡於該處理溶液之中另外一段時 間)。在本發明的一較佳實施例中,該活化溶液連續注入該 容器中,以約每分鐘5加侖(gpm)到約每分鐘30加侖的流 動速率,以及足夠的時間流過至少一容器體積,最好約2 容器體積到約3容器體積的活化溶液通過該容器。適於電 子元件接觸的溼式處理系統在本文之後會更詳細地描述。 這種電子元件與活化溶液的接觸,最好以該電子元件 曝露於氧中的情形最少化的一種方式來進行處理。在fe化 步驟期間,氧的存在是不想見到的,因爲氧會氧化金屬表 面,進而抑制播種過程的進行。除此之外,氧會在金屬沉 16 紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
467767 _____B7 _ ;、發明説明(β ) (請先閱讀背面之注$項再填寫本頁) 積步驟之前’會不適當地將已經沉積的播種成分氧化掉。 因此’本發明的方法將氧的效應減至最少,是藉著將電子 元件與活化溶液以及金屬沆積溶液的接觸是在一容器中, 該容器在處理這兩種溶液期間是與外界大氣相隔離。除此 之外,在本發明的一較佳實施例中,處理該活化溶液以及/ 或是該活化溶液的一種或多種的成分(譬如水),以去除溶 解或是懸浮的氧。最好’該活化溶液實質上不含氧的。在 此"實質上不含氧",其意義代表該活化溶液之溶解氧含量 是飽和狀況下(該氧含量的飽和狀況是基於溼式處理步驟下 在該容器的處理狀況而決定)的約〇25%最是更少,最好是 約0.1%或是更少,更好是約0.01%或是更少。在典型的溼 式處理溫度之下,一般而言,基於流體的總重量而言,該 活化溶液最好含有少於約50ppb的溶解或是懸浮的氧,並 且最好氧的含量是儘量的低。 經‘濟部智禁財產局員η消費合作社印製 在該電子元件與該活化溶液接觸一段足夠的時間之後 ’該活化溶液從該容器中移除。該活化溶液從該容器中移 除可以藉著熟悉該技術領域者所已知的任何方式來進行》 譬如’該活化溶液從該容器中排出,以及在該活化溶液排 出的期間或之後,下一個步驟所需的處理液體可以導入該 容器中。在本發明的一較佳實施例中,該活化溶液從該容 器中移除,可以藉著使用在下個步驟中需要與電子裝置接 觸的處理液體將該活化溶液直接取代掉。譬如,該活化溶 液可一沖洗液體或是一金屬沉積溶液直接取代掉。直接取 代的適當方法是揭露於在例如美國專利編號4778532,在此 17 本紙張尺度適用+國國家標準(CNS ) A4規格(210X297^釐) d67767 A7 B7 __ 五、發明説明(/έ) 以參考資料方式併入。 (請先閱讀背面之注意事項再填寫本頁) 使用直接取代方式來移除活化溶液的一個優點是:電 子元件不會暴露於一氣體-液體介面,以達成減少顆粒污染 以及/或是電子元件的氧化。除此之外,直接取代方式可以 是以下述方法進行:首先與活化溶液接觸的電子元件,會 是最先曝露於下一個步驟的處理流體的電子元件。這種"先 進-先出"的處理方法會因爲所有在容器中電子元件的接觸 時間幾乎都是一樣的,因而使得會有更均勻的結果產生。 經濟部智慧財產局員工消费合作社印製 在電子元件與活化溶液接觸之後,該電子元件與一金 屬沉積溶液接觸。該金屬沉積溶液可以是任何含有一金屬 來源(譬如金屬離子或是含有或形成金鳳離子的化合物)的 液體。藉著將該電子元件與該金屬沉積溶液相接觸,該金 屬沉積在電子元件的表面上。最好,該金屬沉積溶液是含 有至少一金屬離子來源以及至少一種還原劑。該還原劑提 供一電子的來源,以還原該金屬離子(在正氧化狀態)成爲 元素狀態的金屬(在零氧化狀態)。該金屬離子來源可以例 如自由金屬離子,含有金屬離子的化合物,或是當溶解在 溶液中時會形成金屬離子的化合物。該金屬離子溶解或稀 釋的液體溶液最好是水,但也可以是或包含一種有機溶劑 ,譬如乙二醇,醋酸,碳酸丙酯,或是甲醇,或是以上的 組合物。 在本發明中有用的金屬離子源的例子包括金屬鹽類, 其中金屬是以正氧化狀態存在。金屬鹽類包含譬如銅,鎳 鈷 > 金 > 銀.鈀*鉛 > 鍺 > 鐵•鋁 > 鉅.鈦.鎢的鹽類 18 本紙張尺度適用中國國家( CNS ) Α4規格(2丨0父297公^) " 467767 A7 B7__ 五、發明説明(j) ,或是以上的組合物。特別適合的金屬鹽類包含譬如硫酸 銅,氯化銅,硫酸鈷,氯化鈷,硫酸鎳六水合物,氯化鈷 六水合物,硫酸站七水合物,氯化鈀,氮化鈦,氮化钽, 氮化鎢,或是以上的組合物。熟悉該技術領域者會了解到 有種種的金屬離子來源可以在本發明之中使用。 適當的還原劑包含任何化合物,其可以在該金屬沉積 溶液中提供電子。還原劑的例子包括譬如次磷酸鈉,甲醛 ,氫化硼,胺硼烷(比如二甲基胺硼烷),聯氨,或是以上 的組合物β對於銅的無電鍍沉積而言,甲醛是一種較佳的 還原劑,然而對於鎳或是鈷而言,次磷酸鈉,氫化硼,胺 硼烷或是聯氨是較佳的還原劑。 該金屬沉積溶液最好是含有一金屬錯合劑以及一 pH 調整添加劑。該金屬錯合劑是爲了保持在該金屬沉積溶液 的pH狀況下該金屬離子來源的可溶解性。因此,一金屬 錯合劑或許是不需要的,如果該金屬沉積溶液的pH使得 該金屬離子來源可溶解的話適合的金屬錯合劑包含譬如 :含有金屬錯合基的化合物,例如酒石酸,檸檬酸(例如檸 檬酸鈉),乳酸,琥珀酸(例如琥珀酸鈉),氨,EDTA,或是 以上的組合物。該pH調整添加劑是爲了去調整該金屬沉 積溶液的pH至一値,使得還原劑可以最佳化地供給電子 。適合的pH調整添加劑包含譬如:氫氧化鈉,氫氧化銨 ,鹽酸,醋酸,或是以上的組合物。該金屬沉積溶液也可 以含有其他的添加劑,譬如:抗腐蝕劑;在沉積過程中可 以減少氫氣產生的添加劑,譬如界面活性劑(如同之前所述 19 本&尺度適用中國國家標準(CNS ) A4規^ ( 210X297公釐) (請先閲讀背面之注意事項再填寫本頁) :> 訂 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 467767 A7 _____B7__ 五、發明説明(丨h )或是活化劑(例如IS);或是以上的組合物。熟悉該技術領 域者會了解到有種種的金屬錯合劑,pH調整添加劑,或是 其他的添加劑可以存在於該金屬沉積溶液中。添加劑的選 擇依以下因素而定,例如要沉積的金屬,電子元件的表面 組成,以及處理條件。 該金屬離子源’該還原劑,該金屬錯合劑,該pH調 整添加劑,或是其他的添加劑在該金屬沉積溶液中的濃度 是與某些因素有關的,例如要沉積的金屬,該金屬離子源 的選擇,所需的沉積速率,以及製程的條件(譬如金屬沉積 溶液的溫度以及接觸時間)。最好,在該金屬沉積溶液中, 該金屬離子的濃度是至少約0·005Μ,並且更好是從約 0.005Μ到約0.7Μ。在該金屬沉積溶液中,該還原劑的濃度 最好至少約0.005Μ,並且更好是從約0.005Μ到約10Μ, 以及最佳是從約0.5Μ到約5Μ。一些較佳的金屬沉積溶液 組成的例子列於表2之中。請一併參考例如C.H. Ting等人 於 1989 年 2 月於 Selective Electroless Metal Deposition for via Holes Filling in VLSI Multilevel Interconnection Structure, J. Electrochem. Soc.,Vol_ 136, No_ 2, pp. 462-466 中所提出的 ,在此以參考資料方式倂入。在表2中的組成只是一些例 子,並且熟悉該技術領域者會了解到有種種的金屬沉積溶 液的濃度可以使用。 20 本紙张尺渡適用中國國家標準(CNS ) A4規格(210><297公釐) (請先閲讀背面之注意事項再填寫本頁)
4 6 7 767 A7 B7五 '發明说明() 表2金屬沉積溶液的組成例子 沉積的金屬 金屬離子源 還原劑 金屬錯合劑 pH調整添加劑 銅 約0.005M到約0,05M的 CuSO^ 約0.5M到約5M的 HCHO 約 0,01MS!^0.15M 的酒石酸二鈉 用NaOH來調整到 pH約10.5到約丨2.5 鎳 約见〇£/1到約60.0g/l的 NiS〇4 6H3〇 約0.5g/l到約4.0g/l的 二甲基胺硼烷 約 5.〇g/l 到約 35.0g/l 的檸檬酸鈉 用ΝΗΛΗ來調整pH 到約5到約7 姑 約5.0g/I到約30.0g/丨的 CoS〇4 · 7ΗιΟ 約l.Og/Ι到約8.0g/l的 二甲基胺硼烷 約15.0g/l到約 65.0g/l的琥珀酸鈉 (6ΗιΟ) 用NH«OH來調整pH 約4.5到約7.5 鈷鎢磷 約5,0g/l到約60,0g/丨的 CoCh _ 6ΗΛ以及約 L0g/1 到約 20.0g/l 的 (_)皿 約 5.0g/l 到約 40.0g/l 的 NmHaPCh 約40.0β/1到約 120.0g/l 的 ΝίβιΉΛ 2ΗϊΟ 用三甲基氫氧化銨 以及K0H來調整pH 到約9到約9.6 經濟部智慧財產局員工消費合作社印製 在本發明的一較佳實施例中,如果是想要無電鍍沉積 銅的話,最好該金屬沉積溶液含有約0.014M的CuS〇4(金屬 離子源);約0.079M的酒石酸二鈉(金屬錯合劑);約1.8M 的甲醛(還原劑),以及用足夠的氫氧化鈉來調整pH到約 11.3 〇 在本發明之中已經發現:最好是以一較緩慢的沉積速 率來沉積金屬(例如以每一分鐘少於lnrn的金屬沉積速率) 。金屬的沉積速率最好是緩慢的,使得該金屬的沉積是更 具有選擇性的,以及最好是沉積在金屬播種的表面上。而 不是沉積在其他的表面上,例如氧化的電子元件的表面或 是容器壁。同時,緩慢的沉積速率是需要的,使得在還原 劑(譬如甲醛)的還原過程之'中氫氣的產生可以減至最少。 會影響金屬沉積速率的因素包含:在金屬沉積溶液中金屬 離子以及還原劑的濃度,在金屬沉積溶液中溶解或懸浮的 氧含量’該金屬沉積溶液的pH,以及該金屬沉積溶液的溫 度。例如,可以經由減少金屬離子以及還原劑的濃度,增 __ 21 (請先閲讀背面之注意事項再填寫本頁) --0 紙 通 辟 it 公 7 9· 2 467767 A7 B7 五、發明説明(〆) 加在金屬沉積溶液中溶解或懸浮的氧含量,以及降低金屬 沉積溶液的溫度,來達成減緩金屬沉積速率的目的。在金 屬沉積速率中,pH的影響是與沉積的金屬有相關性的。 在金屬沉積溶液中溶解或懸浮的氧的含量最好是維持 在金屬沉積溶液中飽和狀態之下溶解氧含量(在此飽和狀態 的判定是基於在容器中該金屬沉積溶液的狀況來決定)的至 少約0.25%的濃度,以及更好是從約0.25%到約25%,並 且更佳是從約2.5%到約9%。對一般的溼式處理情形而言 ,在金屬沉積溶液中氧的濃度範圍最好是從約50ppb到約 5ppm,以及更好是從約0.5ppm到約1.7ppm。如同之目丨J所 討論,將氧的含量維持在這種水平狀況中,金屬的沉積會 以一種較緩慢的速率進行。除此之外,在金屬沉積溶液中 氧的存在可以幫助金屬離子源的穩定性,譬如可以防止衰 退。 電子元件最好是與金屬沉積溶液接觸一足夠長的接觸 時間,以沉積至少約5nm厚度的金屬層,以及更好是從約 20nm到約50nm。所選之真正的接觸時間是基於某些因素 來決定,例如金屬沉積溶液中金屬離子源以及還原劑的濃 度,在金屬沉積溶液中溶解或懸浮的氧的含量’該金屬沉 積溶液的pH以及溫度。最好該接觸時間是至少約1分鐘 ,以及更好是從約2分鐘到約60分鐘。 於接觸期間,該金屬沉積溶液的溫度使得金屬的沉積 是受到控制的,以及一緩慢的沉積速率是可以達成的(例如 最好是每分鐘lnm或是更少)15最好,該金屬沉積溶液的溫 22 本紙张尺度適用中國國家標準(CNS ) A4規格(210Χ297公釐) tv—— (請先閲讀背面之注意事項再填寫本頁) 訂 CT· 經濟部智慧財產局員工消費合作社印製 A7 B7 4 6 7 767 五、發明说明(少1) 度是少於35°C,以及更好是從約15°C到約30°C,以及更佳 是從約2(TC到約30°c。 電子元件與金屬沉積溶液的接觸,可以以之前所描述 任何將電子元件與活化溶液接觸的溼式處理技術來進行。 例如,電子元件是置於容器之中’然後該金屬沉積溶液可 以直接導入該容器中將其注滿’以達到接觸的效果°除此 之外,接觸可以是在動態狀況下進行,在靜態狀況下進行 ,或是兩者的組合。在一較佳實施例中,接觸是將金屬沉 積溶液注滿容器’並將電子元件浸泡在金屬沉積溶液中一 段所需的浸泡時間。在浸泡過程之後’金屬沉積溶液可以 以之前所描述過的任何方式自該容器中移除。在一較佳實 施例中,該金屬沉積溶液自該容器中的移除,可以使用另 外一種溶液將該金屬沉積溶液直接取代,例如一沖洗液體 〇 在本發明的一較佳實施例中,與該金屬沉積溶液相接 觸的至少一部份時間,電子元件是暴露於一音波能量中, 其頻率約是18kHz以及更高,以及最好是從約20kHz到約 2MHz。藉著音波能量的引進,在接觸期間,更均勻的沉積 效果可以達成,其是藉著擾動的增加,移除不想要的顆粒 ,以及去除會不良地影響金屬沉積的氣泡。音波能量特別 偏好在靜態狀況(例如浸泡)下引用,以提供擾動去克服質 量傳輸的限制。在本發明的一較佳實施例中,電子元件是 暴露於約是600kHz到約2MHz的音波能量,以及更好是從 約600kHz到約1.2MHz。這種”高頻率”的音波能量一般稱 _;_ 23 本紙張尺度適中國國家標孪(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) *11 經濟部智慧財產局員工消费合作社印製 Δ 6 7 Τ67 a? __Β7_____ 五、發明説明 (請先閲讀背面之注意事項再填寫本頁) 爲"百萬音波能量"。音波能量的引進最好是至少維持接觸 時間的50%,更好是至少維持接觸時間的80%,以及更佳 是至少維持接觸時間的95%。 該音波能量產生的方式是可以根據熟悉該技術領域者 所知的任何技術來進行。譬如該音波能量可以經由一壓電 材料做的變換器來產生以及傳輸,其在施與一機械應力時 會形成一電極化的現象,以及當電極化時會形成機械變形 。請參考例如Gale等人於1994年4月於Experimental Study of Ultrasonic and Megasonic Particle Removal, Precision Cleaning, Vol. II,No. 4 ("Gale Article")所發表的。 在金屬沉積在電子元件上之後,在電子元件與金屬沉 積溶液接觸之後要與電子元件相接觸的任何流體最好都是 實質不含氧。最好,這些流體典型溶解或是懸浮的氧在該 流體之中低於50ppb,以及更好是儘可能的低,其是基於 流體的總重量來計算。藉著在該流體之中含有低的溶解或 是懸浮的氧,電子元件表面上金屬氧化的風險就可以很明 顯降低。 經濟部智慧財產局員工消費合作社印製 除了將電子元件與活化溶液以及金屬沉積溶液接觸之 外,該電子元件可以與數種其他任何的化學處理流體相接 觸(例如氣體,液體,蒸氣,或是以上的組合)。在此處"化 學處理流體"或是"化學處理液體",其代表的意義爲任何的 流體或是液體,其可以與電子元件的表面以某方式相互反 應,而改變電子元件的表面組成。例如,這些化學處理流 體或是液體具有活性,其可以將電子元件表面上吸附或是 24 _ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) Λ67767 A7 B7 五、發明 说明(4) 經濟部智葱財產局員工消費合作杜印製 化學鍵結的污染物去除掉,譬如顆粒,金屬物質,光阻, 或是有機物質;或是在電子元件表面上進行蝕刻的活性; 或是在镭子元件表面上形成氧化物的活性。因此,電子元 件或許可以與以下的化學處理流體相接觸:譬如用來進行 ΙίΜ的化學處理流體(本文以下稱爲蝕刻處理流體),用來 形成氧化物的化學處理流體(本文以下稱爲氧化物形成處理 流體),用來去除光阻的化學處理流體(本文以下稱爲光阻 去除處理流體),用來提高淸潔的化*學處理流體(本文以下 稱爲淸潔處理流體),或是以上的組合物。該電子元件也可 以在溼式處理方法的任何時候’以一沖'冼流體來沖洗°最 好,這些化學處理流體與沖洗流體是液體。 在本發明中有用的化學處理流體包含一種或是多種的 化學反應試劑,以達成所需的表面處理。最好,這些化學 反應試劑的濃度是高於lOOOppm,以及更好是高於 lOOOOppm,此基於化學處理流體的總重量來計算。該化學 處理流體或許也可能含有100%的一種或是多種的化學反 應試劑。例如,淸潔處理流體一般含有一種或是多種的腐 蝕劑,譬如是酸或是鹼。適合淸潔處理的酸包括譬如硫酸 ’鹽酸,硝酸,或是王水。適合淸潔處理的鹼包括譬如氫 氧化銨。在淸潔處理流體中該腐蝕劑所要的含量,是與特 殊腐蝕劑的選擇以及所要淸洗的量有關。這些腐蝕劑也可 以與氧化劑一起使用,譬如臭氧或是過氧化氫。較佳的淸 洗溶液是"scr溶液,其含有水,氫氧化銨以及過氧化氫, 以及"SC2"溶液,其含有水,過氧化氫以及鹽酸。—般 2------ 25 衣紙心度逋用^iiiTcNs)二⑤ _210X297公釐) ---------·、,装-- (請先閲讀背面之注意事項再填寫本頁)
、tT β. 467767 A7 B7 五、發明説明(vf) "SC1M溶液的濃度範圍是水:氫氧化銨:過氧化氫的體積百 分比從約5 : 1 : 1到約200 : 1 : 1。一般"SC2”溶液的濃度 範圍是水:過氧化氫:鹽酸的體積百分比從約5 : 1 : 1到 約 1000 : 0 : 1 。 除了淸潔處理流體之外,也可以將電子元件與一些溶 劑相互接觸,譬如丙酮,異丙醇,N·甲基毗咯烷酮,或是 以上的組合物。這些溶劑是化學反應試劑,例如,用來去 除有機物質或是其他的淸洗優點。 在本發明中有用的蝕刻處理流體包含一些試劑,其可 以去除氧化物。在本發明中所使用典型的蝕刻劑,譬如氫 氟酸,緩衝的氫氟酸,氟化銨,或是其他在溶液中可以產 生氫氟酸的物質。一種包含氫氟酸的蝕刻溶液可包含例如 水:氫氟酸的體積百分比從約4 : 1到約1000 : 1。 在本發明中有用的光阻去除處理流體包含例如一含有 硫酸的溶液,以及一種氧化物質,例如過氧化氫,臭氧, 或是以上的組合物。 熟悉該技術領域者將會了解到有很多種的處理流體在 可以溼式處理製程中使用。溼式處理製程中可以使用的處 理流體的其他例子,揭露於由Werner Kern等人發表,John L. Vosser等人編輯,於1978年所發表於"Chemical Etching' in Thin Film Processes,Academic Press 出版,頁數 401-496 ’在此以參考資料方式倂入。. 除了將電子元件與化學處理流體接觸之外,該電子元 件也·可以與沖洗流體接觸。沖洗流體是用來沖洗該電子元 26 本紙張尺度適财關家鰣^ ( CNS ) A4規格(2]Gx297公董) --------W衣— -HI (請先閲讀背面之注Ϊ項再填寫本頁) -訂· 經濟部智慧財產局員工消費合作社印製 467767 A7 B7 五、發明説明(<) (請先閲讀背面之注意事項再填寫本頁) 件以及/或是容器中殘存的化學處理流體,反應的副產物, 以及/或是顆粒’或是由一化學處理步驟所釋放或鬆脫的其 他污染物。該沖洗流體也可以用來防止鬆脫的顆粒或是污 染物重新沉積在電子兀件或是容器之上。最好,該沖洗流 體的溫度是少於60°C,以及更好是從約20°C到約60°C,以 及更佳是從約2(TC到約40°C。 任何可以達成以上所述的效果的沖洗流體都可以選擇 使用。在選擇一沖洗流體時,一些因素是需要加以考量的 ,譬如要沖洗的電子元件表面的本質,在化學處理流體中 所溶解的污染物的本質,以及要沖洗掉的化學處理流體的 本質。以及,^選擇的沖洗流體與所接觸的組織結構是相 容的(也就是柑對上不會起反應)。可以使用的沖洗流體包 含譬如水,有機溶劑,有機溶劑的混合物,臭氧化的水, 或是以上的組合物。較佳的有機溶劑包含一些有用的有機 化合物,如同在本文以下所揭露的乾化溶液,譬如G到 Cn»的醇類,以及最好是C!到CV的醇類。最好這種沖洗流 體是一種液體,以及更好是去離子水。 經濟部智慧財產局員工消費合作社印製 該沖洗流體可以選擇性地含有低含量的化學反應試劑 ,以幫助沖洗的效果。譬如,該沖洗流體可以是稀釋過的 鹽酸或是醋酸水溶液,以防止例如金屬沉積在電子元件的 表面上。界面活性劑,抗腐蝕劑,或/以及臭氧是在沖洗流 體中可以存在的其他添加物。這些在沖洗流體中添加物的 含量是很微量的。譬如,該濃度是不大於於lOOOppm的重 量,以及最好是不大於lOOppm的重量,其是基於沖洗流體 27 本紙張尺度適用中國國家操準(CNS ) A4規格(210X297公釐) 467767 A7 B7 五、發明説明(“) 的總重量來計算。關於臭氧,在沖洗流體中的濃度最好是 5ppm或是更少。 熟悉該技術領域者將會了解到:化學處理流體的選擇 ,以及化學處理流體和沖洗流體順序的選擇,是與所需的 溼式處理結果有相關性的。譬如,在一個或是多個化學處 理步驟之前或是之後,該電子元件可以與一沖洗流體接觸 。就另一方面而言,在某些溼式處理方法中,也可以將一 個化學處理步驟緊跟在另一化學處理步驟之後,而不需要 在兩個化學處理步驟之間將電子元件與沖洗流體接觸(也就 是無插入沖洗)。這種連續性無插入沖洗的溼式處理過程例 如描述於一PCT申請案編號WO98/03273,其公開於1998 年1月29日,在此以參考資料方式倂入。 在本發明的一實施例中,在與活化溶液接觸之前,電 子元件可以與任意次的化學處理流體及/或沖洗流體接觸。 這些處理或許是必須的,以去除會千擾無電鍍金屬沉積的 污染物或是其他物質。譬如,在與活化溶液接觸之前,可 能想要將電子元件與一光阻去除溶液,一淸洗溶液,以及/ 或是一蝕刻溶液相接觸。在本發明的一較佳實施例中,電 子元件可以做處理,以去除在電子元件表面上的本質氧化 物°爲了去除本質氧化物,最好,電子元件是與一蝕刻溶 '液相接觸,譬如一含有氫氟酸的溶液。 在本發明的另一實施例中,不論是在將電子元件置於 該容器之前或是之後,可能想要將容器與一淸洗溶液接觸 ’譬如一種含有鹽酸的或是一種鹼的溶液,然而是在將電 _^_ 28 ]中_家榡準(CNS > A4規格(21G X 297公釐) V V、 (請先閲讀背面之注意事項再填寫本頁) ,-β 經濟部智慧財產局員工消費合作社印製
767 A7 B7 五、發明説明(1) 子元件與活化溶液接觸之前。與一種含有鹽酸或是一種鹼 的溶液接觸是較佳的,以移除殘存的播種試劑,其或許存 在於在前一次電子元件處理後殘留在容器壁上。一種鹽酸 的溶液是特別適合用來自該容器中去除殘留的鈀。如果電 子元件的表面不會受到淸洗溶液的不良影響,該電子元件 或許可以在與淸洗溶液接觸期間存在於該容器之中。如果 電子元件的表面會受到淸洗溶液的不良影響,最好是在將 電子元件放置於該容器之前用該淸洗溶液來沖洗該容器^ 在本發明的另一實施例中,如果電子元件的表面已經 是不含污染物以及本質氧化物,在將電子元件與活化溶液 接觸之前,或許想要將電子元件與一沖洗溶液接觸,譬如 是去離子水,以溼潤該電子元件的表面。最好,在這種溼 式處理步驟中,該沖洗流體的溫度是從約20°C到約60°C, 以及更好是從約20°C到約40°C。 在本發明的另一實施例中,在將電子元件與活化溶液 接觸之後,或許想要將電子元件與一沖洗溶液接觸,然而 是在電子元件與一金屬沉積溶液接觸之前。在本實施例中 ,該沖洗溶液最好是去離子水,其溫度範圍從約20°C到約 60°C。電子元件最好是與沖洗溶液接觸一接觸時間,而足 以去除殘存的化學物質,以及/或在反應期間所產生的反應 副產物。在本實施例中,最好該沖洗溶液是實質不含氧。 如同以上所述,也是有可能在將電子元件與活化溶液接觸 之後,直接跟著將電子元件與一金屬沉積溶液接觸,而不 需要在兩個化學處理步驟之間插入沖洗步驟。 29 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) V:袭-- VV (請先閲讀背面之注意事項再填寫本頁} S·30 經濟部智慧財產局員工消費合作社印级 467767 A7 B7 五、發明説明( 在電子元件與一金屬沉積溶液接觸之後,在本發明之 中最好是將電子元件與一含有去離子水的沖洗溶液接觸, 其溫度範圍爲從約20°C到約60°C。該沖洗步驟進行最好是 爲了去除殘存的化學物質,以及/或在反應期間所產生的反 應副產物,其是在電子元件與一金屬沉積溶液接觸之後, 沉積在容器以及電子元件的表面之上。最好該沖洗溶液是 實質不含氧,以避免沉積金屬氧化的風險。 因此,根據本發明的方法,電子元件可以與種種不同 型態的方式溼式處理。譬如,一種溼式處理步驟可以使用 音波能量而進行(例如在百萬音波能量範圍),其是在將電 子元件與一處理流體接觸期間,以產生淸潔的效果或是更 均勻的金屬沉積。此種方法可包含在以下所揭露的申請案 中的處理技術,譬如美國專利編號5383484 : PCT申請案編 號WO98/03273,其公開日爲1998年1月29日;PCT申請 案編號W0的/30355,其公開日爲1999年6月17日;美國 專利申請案序號09/253157,其申請曰爲1999年2月19日 ;09/257488,其申請日爲 1999 年 2 月 25 日;和 09/324813 ’其申請日爲1999年6月2日;美國暫時專利申請案序號 60/111350,其申請日爲1998年12月8日;以及60/1H757 *其申請日爲1999年1月5日,在此以參考資料方式倂入 〇 在容器中最後一道溼式處理步驟之後,最好是將電子 元件弄乾。在此所使用"弄乾"或是"乾化",其代表的意義 爲電子元件上實質是不存在液滴的。藉著在乾化過程中將 30 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製
467767 A7 B7 五、發明説明f ) 液滴去除,當液滴蒸發之後,液滴中所存在的雜質不會沉 積在電子元件的表面上。這些雜質會留下不想要的痕跡(例 如水印)或是其他的殘留物質在電子元件的表面上。然而’ 也會想到該乾化過程或許只是簡單地包含去除一處理流體 或是沖洗流體,譬如借助一乾化流體的使用,或是熟悉該 技術領域者所已知的任何方式來進行。 任何乾化的方法或是系統都可以使用。適當的乾化方 法包含譬如蒸發,一旋轉-沖洗-乾化器中的離心力,蒸氣 或是化學乾燥,或是以上的組合物。 一種使用乾化流體來進行乾化程序的較佳方法是,在 乾化步驟之前,將容器中與電子元件接觸的最後處理溶液 以一乾化流體來將其直接取代(本文以後稱爲”直接取代乾 化")。用在直接取代乾化的方法中之適當的方法以及系統 ,如以下所揭露的申請案中,譬如美國專利編號4778532, 4795497,4911761,4984597,5571337,以及 5569330。其 他可以使用的直接取代乾化器包括由製造商譬如Steag, Dainippon,以及YirldUp等所提供的Marangoni形式的乾化 器。最好,以美國專利編號4911761中所提供的系統以及 方法來進行電子元件的乾化步驟。 最好,該乾化流體是由部份地或是完全地蒸發的乾化 溶液來形成。例如該乾化流體可以是過熱的,一種蒸氣與 液體的混合物,飽和蒸氣,或是一種蒸氣與非凝結性氣體 的混合物。 選擇用來形成乾化流體的乾化溶液最好是在該容器中 31 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先聞讀背面之注意事項再填寫本頁)
、tT 經濟部智慧財產局員工消费合作社印製 46H61 Α7 Β7 五、發明説明(>〇) 可以與最後步驟的處理流體互相混合,並且與電子元件的 表面不會相互反應。該乾化溶液最好是擁有一相對低的沸 點以幫助乾化步驟。譬如,該乾化溶液最好是選自於一些 有機化合物,其在大氣壓力之下具有低於約140°C的沸點 。可以採用的乾化溶液的例子有:蒸氣,醇類譬如甲醇, 乙醇,1-丙醇,異丙醇,η-丁醇,第二丁醇,第三丁醇或 是叔戊醇,丙酮,乙腈,六氟丙酮,硝基甲烷,醋酸,丙 酸,乙二醇單甲基醚,二氟乙烷,乙酸乙酯,醋酸異丙酯 ,1,1,2-三氯-1,2,2-三氟乙烷,1,2-二氯乙烷,三氯乙烷,全 氟-2-丁基四氫呋喃,全氟-1,4-二甲基環己烷,或是以上的 組合物。最好,這些乾化溶液是已到C6的醇類,譬如甲醇 ’乙醇,1-丙醇,異丙醇,η-丁醇,第二丁醇,第三丁醇 ,叔戊醇,戊醇,己醇,或是以上的組合物。 在本發明的一較佳實施例中,一種乾化溶液最好是選 自於一種溶液,其恰在乾化之前與在容器中所存在的處理 溶液相互混合,形成一最低沸點的共沸混合物。因爲水是 —般化學處理以及沖洗流體中最方便以及最常使用的溶劑 ,一種與水可以形成最低沸點的共沸混合物之乾化溶液是 特別偏好的。 在本發明的方法是在一單一可封閉是容器系統中進行 。在本發明的一較佳實施例中,電子元件是放置於如美國 專利編號 4778532,4917123,4795497,4911761,4795497 ,4899767 , 4984597 , 4633893 , 4917123 , 4738272 , 4577650,5571337,以及5569330中所揭露的單一容器系統 32 本紙張尺度適用中國國家標羋(CNS ) Α4規格(210X297公釐) ----------' /裝— /JJ (請先聞讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 d67T67 A7 _____________ B7 五、發明説明(>7丨) ’在此以參考資料方式倂入。商業上可取得之較佳的單一 可封閉式谷器系統是譬如由製造商CFM Technology,Inc.所 fe供的Full-Flow谷器,由製造商steag所提供的Poseidon 系統’以及由製造商Dainippon Screen所提供的FL820L系 統。這些系統較佳的原因是其可以更容易地控制氧的含量 。除此之外’該系統是特殊的設計,而溼式處理以及乾化 過程可以在同一容器中進行,使得電子元件氧化以及污染 的風險可以降低。 在本發明中有用的可封閉式單一容器系統,最好是在 不同的過程中可以接收不同的處理流體。一種傳送處理流 體到容器中的較佳方式是直接以一種流體取代另一種流體 。由製造商CFM Technology, Inc.所提供的Full-FlowTM溼式 處理系統就是可以藉由直接取代而傳輸流體的例子。 在本發明的另一較佳實施例中,該可封閉式單一容器 系統能夠在將活化溶液以及金屬沉積溶液注入容器之前, 在生產線上將這些處理溶液調製完成。這種"使用混合的觀 點"(point of use mixing)有許多的優點。譬如,經由在生產 線上形成活化溶液以及金屬沉積溶液’不要的副反應是可 以減至最低的,以及活化溶液以及金屬沉積溶液的衰退也 比較不可能發生。譬如,在一典型的銅沉積溶液中’氧的 溶解度是與HCOCK甲酸離子)濃度有相關性的。隨著金屬 沉積溶液的壽命增長’甲酸鹽離子濃度會增加’導致氧溶 解度的改變。如同本文之前所述’在金屬沉積溶液中氧含 量的改變會影響金屬的沉積速率。因此,爲了保持固定的 33 本紙張尺度適用中國國家桴準(CNS > Α4规格(2丨〇Χ2.97公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 、1T- 經濟部智慧財產局員工消費合作社印製 7 6 7 A7 B7 五、發明説明(jl) 金屬的沉積速率,以使用混合的觀點來看’該金屬沉積溶 液最好是盡量保持新鮮。除此之外,在儲存容器中活化溶 液以及金屬沉積溶液的自發性鍍出就可以避免’並且以使 用混合的觀點來看,發生在容器中自發性鍍出的風險也可 以降低。因此,使用混合的觀點可以提供活化溶液以及金 屬沉積溶液中反應試劑更一致的濃度’因而減少在單一批 次中或是批次與批次間的變化。 這種活化溶液以及金屬沉積溶液只使用一次的組合, 如同本文之前所述,以及在將溶液注入容器之前在生產線 上形成溶液,可以進一步改善製程的結果。例如’溶液濃 度可總是維持一致,以致避免了批次與批次間的沉積金屬 層厚度的不均勻性。 這種活化溶液以及金屬沉積溶液在生產線上的形成(也 就是使用混合的觀點),可以以各種不同的方式達成。譬如 ,最好是事先混合或是購買在濃縮狀態中是穩定狀況的溶 液,並且在使用之前先儲藏起來。然後一種或是多種的儲 存溶液可以以個別流體注入系統之中,並與去離子水結合 。產生的流體再注入容器之中與電子元件接觸。該儲存溶 液可包含譬如一種反應試劑,或是多個反應試劑的混合物 ,其當混合的時候具有儲存穩定性。最好該儲存溶液是以 濃縮狀態的形式存在,如此只需要較小的儲存空間。 關於活化溶液,在該活化溶液中之播種成分以及其他 存在的組成可以個別分開儲存,並且在生產線上與去離子 水混合產生該活化溶液。就另一方面而言,在該活化溶液 34 --- - - --- ---- I (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS > A4规格(2I0X 297公釐) 467767 r 五、發明説明(Ύ 中播種成分以及一種,多種,或是所有其他存在的組成, 例如氫氟酸,醋酸,以及鹽酸,也可以以一種濃縮溶液的 形式儲存(只要這些溶液具有儲存穩定性),並且在將其注 入容器之前,在生產線上與去離子水以及任何所需的溶液 結合口 關於金屬沉積溶液,爲了儲存穩定性的考量,最好是 —種含有金屬離子源的溶液(例如一種金屬鹽類)以及一種 含有還原劑的溶液,可以個別分開儲存,然後在生產線上 與去離子水結合。以及,最好是金屬錯合劑(如果存在的話 )是個別分開儲存,或是與含有金屬離子源的溶液一起儲存 。該金屬錯合劑也可能與含有還原劑的溶液一起儲存。最 好,pH調整試劑是個別分開儲存,或是與含有金屬離子源 的溶液,含有還原劑的溶液,或是兩者一起儲存。 在本發明的方法中一種有用的較佳可封閉式單一容器 系統是如圖1所描述,其可以譬如以一種處理流體直接取 代另外一種處理流體,以及在生產線上形成處理流體。圖 1描述了一種在本發明的方法中有用的可封閉式單一容器 系統10。該可封閉式單一容器系統10包含以下裝置:一 容器模組2,一乾化流體模組50用來供應乾化流體64到 容器模組2,一抽取模組52用來將處理流體注入以及抽出 容器模組2,一注入模組54,一去離子水混合楔組56,以 及一氣體控制單元58用來控制去離子水中氣體的含量。 該容器模組2包含一處理容器20 ’其具有一支承(未 顯示)以固定晶圓22,以及具有上、下流體口,分別是24 35 本紙張尺度適用中國國家標準(CNS ) Α4規格(2!〇Χ297公釐) ------I---一 裝-- \·- (請先閲讀背面之注意事項再填寫本頁)
、1T 經濟部智慧財產局員工消費合作社印製 五、發明説明 、26。該去離子水混合模組提供一去離子水流30,其可以 選擇性地更進一步細分爲去離子水流30A,30B,30C以及 30D 0 該注入模組54提供一種或是多種的化學物質流32A, 32B,32C以及32D到容器模組2之中。注入處理容器20 之前,一種或是多種的化學物質流體32A,32B,32C以及 32D可以藉著在混合閥門36A到36D選擇性地個別與去離 子水流30A,30B,30C以及30D相混合。產生的一種或是 多種稀釋過後的化學物質流體,如同處理流體38 —般,經 由閥門Π注入處理容器20之中。如圖1所示,該處理流 體38或許只包含去離子水,一種或是多種的化學物質,或 是去離子水與一種或是多種的化學物質的混合物。因此, 該注入模組54可提供如本文上述的使用混合的觀點。 如圖1所示的另外一個特徵是提供時間使得該處理流 體38中化學物質的濃度趨於穩定,而當閥門18是開啓而 閥門17是關閉時,該處理流體38可以經由汲取管線96注 入汲取裝置98。 處理流體38最好是經由管線25注入處理容器20,經 由下流體口 26,與晶圓22接觸,然後經由管線23由上流 體口 24排出。將處理流體38自上往下通過也是有可能的 。基於所需要的處理,該處理流體自上流體口 24排出之後 或許可以導入管線95,汲取管線96以及汲取裝置98(當閥 門16是開啓而閥門12是關閉時)。抽取模組52可以用來 輸送去離子水30流經系統的管線藉著流體53以及55。 36 --------- 丨 ................ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
Al/G- 3-01 THU Ι4:49467767 A7 B7 經濟部眢蔌財產场sx消贲合作社印製 五、發明説明('ρ 氣體控制單元58是用來控制去離子水在其注入處理容 器20之前氣體的含量,譬如氧。在一較佳實施例中,該氣 體控制單元是使用由製造茼Separation Products Group of Hoechst Celanese Corporation, Charlotte, NC 所提供的 UQiii-Cel®的氣體*液體接觸器'最好該氣體控制單元具有能力去 在一控制狀況之下去抽氣以及維持一真空狀態,在一控制 狀況之下去添加額外的氣體,以及能處理具有各種流速的 處理液體。有關合適的氣體控制單元之細節,以及這種氣 體控制單元的操作,是揭露於美國專利^請案序號 09/253157中,其申請日爲1999年2月19曰,其在此以參 考資料方式倂入β 該乾化流體楔組50提供一乾化流體64,經由閥鬥65 以及丨2(閥門16是關閉的)到該處理容器20。因此該乾化流 體64最好從上流體口 24注入該處理容器20。乾化過程的 進行可以是:該容器最初充滿處理流體,然後將乾化流體 64導入,經由閥門65以及閥門12,從上流體口 24注入該 處理容器20 β在乾化流體取代處理流體之時,乾化流體最 好是與處理流體混合’並且形成一明顯的乾化流體層(未顯 示)在處理流體的頂部。處理流體和乾化流體層經由管線25 自處理容器20中移出,以及然後流經閥門14,78 ’ 79以 及81。可以選擇性地使用一汲取抽取裝置80,以方便控制 處理流體和乾化流體的下降速度,以使乾化效果最佳化。 閥門82是用來將處理流體/乾化流體經由管線83導入一乾 化流體回收系統中(未顯示),或是經由管線S5導入汲取裝 ______37 本紙張尺度適州中搏阖家標举(CNi~) Α4规格" ^諸先閲场背而之注^^項一^寫本有) 467767 A7 B7 五、發明説明(Vb) 置98。 在乾化處理過程之後’電子元件可以自容器中移除, 以及以任何所需的方式進行進一步處理。 雖然本發明已經藉著如上所述的較佳實施例來說明, 但熟悉該技術領域者將會了解到有很多種調整以及變化方 式可以來達成本發明的設計。以上所描述只是示範性說明 ,而非限制本發明。 t 事 訂 經濟部智慧財產局員工消費合作社印製 適 度 尺 1¾ -紙 本
I I釐 公

Claims (1)

  1. 4 6 7 767 A8 B8 C8 DS 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 1_一種無電鑛沉積一金屬在一'電子元件上的方法,包 含: a) 放置多個電子元件於一可封閉式單一容器中; b) 形成一活化溶液’其包含至少一種播種成份,其中 該活化溶液是實質不含氧; c) 將該活化丨合液注入該谷器中,在該容器中使該電子 元件與該活化溶液接觸一第一接觸時間,並且自該容器中 將該活化溶液移除,其中該活化性溶液只與該電子元件接 觸一次; d) 形成一金屬沉積溶液,其包含至少一種金屬離子源 ,至少一還原劑,以及氧; e) 將該金屬沉積溶液注入該容器中,在該容器中使該 電子元件與該金屬沉積溶液接觸一第二接觸時間’以沉積 金屬在該電子元件的表面,其中該金屬沉積 溶液只與 該電子元件接觸一次; f) 該第二接觸時間的至少一部份中,使該電子元件曝 露在音波能量中;以及 g) 將該金屬沉積溶液自該容器中移除。 2. 如申請專利範圍第1項的方法,其中該活化溶'液或 該金屬沉積溶液,或此二者是在生產線中形成’此藉著結 合至少一濃縮儲存溶液流以及一去離子水流’以形成一股 注入該容器的活化溶液或金屬沉積溶液。 3. 如申請專利範圍第1項的方法,其中該金屬沉積溶 液是在生產線中形成,此藉著結合至少一含有金屬離子源 1 {請先閲讀背面之注$項某成寫本頁) ;裝- 本紙張尺度適用中圉國家梯準(CNS ) A4規格(210X297公釐) m- 2-oi m g.〇5 46776? A8 B8 C8 D8 02ί 圍 六、申請專利範 流1〜含有還原劑流,以及一去離子水流,以形成一股注 入該容器中的金屬沉積溶液流。 f請先閲讀背面之注$項再壤寫本頁) 4·如申請專利範圍第3項的方法,其中該金屬沉積溶 液進一步包含至少一 pH調整添加劑以及至少一金屬錯合 劑。 5·如申請專利範圍第4項的方法,其中該金屬錯合劑 和該pH調整添加劑是存在於該含有金屬離子源流中,或 是與該含有金屬離子源流與該含有還原劑流分別結合。 6·如申請專利範圔第1,項的方法,其中讀金屬離子源 提供的金屬離子是選自下列族群··包含銅,鈷,鎳’金或 是其組合。 7·如申請專利範圍第6項的方法’其中該金屬離子是 銅離子。 8. 如申請專利範園第1項的方法,其中該播種成分包 含至少~種鈀的化合物,元素或是離子,或是其組合。 9. 如申請專利範圍第1項的方法,其中該活化溶液或 該金屬沉積溶液,或此二者是藉著另—種處理液體直接取 代方式而自該容器中移除。 經濟部財產局κκ工消费舍作社印製 10. 如申請專利範圍第9項的方法’其中該活化溶液是 被該金屬沉積溶液直接取代° 11. 如申請專利範圍第9項的方法’其中該活化溶液是 被一種沖洗液體直接取代,並且該沖洗液體是被該金屬沉 積溶液直接取代° 12. 如申請專利範圍第1項的方法,進一步包含:在該 2 本紐尺射舰㈣MM cnsTX^· (210x297公^ AUG- 2-〇] Μϊϊ 9:05 467767 ABCD 六、申請專利範圍 電子元件與該活化溶液接觸之後’以及在該電子元件與該 金屬沉稹溶液接觸之前,將該電子元件與一種沖洗溶液接 (神先閲讀背面之注意事項再填寫本育) And 觸。 - 13. 如申請專利範圍第1項的方法’在將該金屬沉積溶 液自該容器移除的期間或之後,進一步包含沖洗該電子元 件的步驟。 14. 如申請專利範圔第1項的方法,進一步包含在該容 器中使用一乾化流體將該電子元件乾化的步驟: 15. 如申請專利範圍第1項的方法’其中該電子元件置 於該容器中分開從約間距到約1/4間距。 16. 如申請專利範圍第1項的方法’在該電子元件與該 活化溶液接觸之前’進一步包含在該容器中注入鹽酸•以 及將該鹽酸自該容器中移除的步驟° 17. 如申請專利範圔第1項的方法,在該電子元件與該 活化溶液接觸之前’進一步包含將該電子元件與一可將該 電子元件表面氧化物去除的溶液接觸之步驟° " 經濟部智恶財產总貝工消費合作社印製 18. 如申請專利範圍第1項的方法*其中該電子元件與 該金屬沉積溶液接觸包含:將該金屬沉積溶液注入該容器 中,在百萬音波能量存在的狀況下浸泡該電子元件於該金 屬沉積溶液中’並且在浸泡之後將該金屬沉積溶液自該容 器中移除β 19. 如申請專利範圔第1項的方法,其中該電子元件與 該活化溶液接觸包含:至少一容器體積的該活化溶液流經 該容器,並且以其他處理液體直接取代而將該活化溶液移 3 本紙張尺度適λ中國圈家梂率(CNS)Α4規格(2〗〇>^97公鼇) 6 7767 驾 D8六、申請專利範圍 除。20.如申請專利範圍第1項的方法,其中在該金屬沉積 溶液中的氧含量範圍從約50ppb到約5ppm。 (請先閲讀背面之注意事項,<私寫本頁) 裝. '1T 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐)
TW088116083A 1998-09-17 1999-09-17 Electroless metal deposition of electronic components in an enclosable vessel TW467767B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10087098P 1998-09-17 1998-09-17
US09/395,398 US6165912A (en) 1998-09-17 1999-09-14 Electroless metal deposition of electronic components in an enclosable vessel

Publications (1)

Publication Number Publication Date
TW467767B true TW467767B (en) 2001-12-11

Family

ID=26797637

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088116083A TW467767B (en) 1998-09-17 1999-09-17 Electroless metal deposition of electronic components in an enclosable vessel

Country Status (8)

Country Link
US (1) US6165912A (zh)
EP (1) EP1115503A1 (zh)
JP (1) JP2002524663A (zh)
KR (1) KR20010073173A (zh)
CN (1) CN1317997A (zh)
AU (1) AU6043799A (zh)
TW (1) TW467767B (zh)
WO (1) WO2000015352A1 (zh)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030017998A1 (en) * 1997-05-16 2003-01-23 Snow Alan D. Proanthocyanidins for the treatment of amyloid and alpha-synuclein diseases
JP3003684B1 (ja) * 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US6391477B1 (en) * 2000-07-06 2002-05-21 Honeywell International Inc. Electroless autocatalytic platinum plating
US20070208087A1 (en) 2001-11-02 2007-09-06 Sanders Virginia J Compounds, compositions and methods for the treatment of inflammatory diseases
US20020119245A1 (en) * 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
US6573183B2 (en) 2001-09-28 2003-06-03 Agere Systems Inc. Method and apparatus for controlling contamination during the electroplating deposition of metals onto a semiconductor wafer surface
US6875474B2 (en) * 2001-11-06 2005-04-05 Georgia Tech Research Corporation Electroless copper plating solutions and methods of use thereof
US6703712B2 (en) 2001-11-13 2004-03-09 Agere Systems, Inc. Microelectronic device layer deposited with multiple electrolytes
US6911230B2 (en) * 2001-12-14 2005-06-28 Shipley Company, L.L.C. Plating method
US7156927B2 (en) * 2002-04-03 2007-01-02 Fsi International, Inc. Transition flow treatment process and apparatus
JP2005536628A (ja) * 2002-04-03 2005-12-02 アプライド マテリアルズ インコーポレイテッド 無電解堆積法
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US6821324B2 (en) * 2002-06-19 2004-11-23 Ramot At Tel-Aviv University Ltd. Cobalt tungsten phosphorus electroless deposition process and materials
US8257781B1 (en) 2002-06-28 2012-09-04 Novellus Systems, Inc. Electroless plating-liquid system
US6872659B2 (en) * 2002-08-19 2005-03-29 Micron Technology, Inc. Activation of oxides for electroless plating
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20050022909A1 (en) * 2003-03-20 2005-02-03 Xinming Wang Substrate processing method and substrate processing apparatus
JP4245996B2 (ja) * 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7119019B2 (en) * 2004-03-31 2006-10-10 Intel Corporation Capping of copper structures in hydrophobic ILD using aqueous electro-less bath
US20060035016A1 (en) * 2004-08-11 2006-02-16 Chandra Tiwari Electroless metal deposition methods
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP2006241580A (ja) * 2005-03-07 2006-09-14 Ebara Corp 基板処理方法及び基板処理装置
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US7913644B2 (en) * 2005-09-30 2011-03-29 Lam Research Corporation Electroless deposition system
US7972652B2 (en) * 2005-10-14 2011-07-05 Lam Research Corporation Electroless plating system
JP2007243032A (ja) * 2006-03-10 2007-09-20 Seiko Epson Corp 配線基板の製造方法
KR100818089B1 (ko) * 2006-08-30 2008-03-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8110254B1 (en) * 2006-09-12 2012-02-07 Sri International Flexible circuit chemistry
US7981508B1 (en) * 2006-09-12 2011-07-19 Sri International Flexible circuits
CN101152652B (zh) * 2006-09-29 2011-02-16 北京北方微电子基地设备工艺研究中心有限责任公司 一种阳极氧化零件表面的清洗方法
US20080175986A1 (en) * 2007-01-24 2008-07-24 Kenneth Crouse Second surface metallization
US7989029B1 (en) 2007-06-21 2011-08-02 Sri International Reduced porosity copper deposition
US8628818B1 (en) * 2007-06-21 2014-01-14 Sri International Conductive pattern formation
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8143164B2 (en) * 2009-02-09 2012-03-27 Intermolecular, Inc. Formation of a zinc passivation layer on titanium or titanium alloys used in semiconductor processing
US8895874B1 (en) 2009-03-10 2014-11-25 Averatek Corp. Indium-less transparent metalized layers
CN102272349A (zh) * 2009-03-13 2011-12-07 株式会社艾迪科 含金属薄膜的制造方法中的残存水分子除去工艺及清洗溶剂
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8413320B2 (en) 2011-01-28 2013-04-09 Raytheon Company Method of gold removal from electronic components
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US20140154406A1 (en) * 2012-11-30 2014-06-05 Lam Research Corporation Wet activation of ruthenium containing liner/barrier
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
FR3013995A1 (fr) * 2013-11-29 2015-06-05 Commissariat Energie Atomique Procede ameliore de metallisation d'un materiau poreux
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9941244B2 (en) * 2013-12-09 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Protective layer for contact pads in fan-out interconnect structure and method of forming same
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US20160040294A1 (en) * 2014-08-08 2016-02-11 Uni-Pixel Displays, Inc. Method of controlling oxygen levels for electroless plating of catalytic fine lines or features
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10577692B2 (en) * 2017-01-05 2020-03-03 International Business Machines Corporation Pretreatment of iron-based substrates for electroless plating
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10508351B2 (en) * 2017-03-16 2019-12-17 Lam Research Corporation Layer-by-layer deposition using hydrogen
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3801368A (en) * 1970-11-25 1974-04-02 Toray Industries Process of electroless plating and article made thereby
US4152467A (en) * 1978-03-10 1979-05-01 International Business Machines Corporation Electroless copper plating process with dissolved oxygen maintained in bath
US4466864A (en) * 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4984597B1 (en) * 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4778532A (en) * 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4738272A (en) * 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4633893A (en) * 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4577650A (en) * 1984-05-21 1986-03-25 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4574095A (en) * 1984-11-19 1986-03-04 International Business Machines Corporation Selective deposition of copper
US4795497A (en) * 1985-08-13 1989-01-03 Mcconnell Christopher F Method and system for fluid treatment of semiconductor wafers
US4967690A (en) * 1986-02-10 1990-11-06 International Business Machines Corporation Electroless plating with bi-level control of dissolved oxygen, with specific location of chemical maintenance means
JP2675309B2 (ja) * 1987-09-19 1997-11-12 パイオニア株式会社 無電解めっき方法及びその装置
US5171709A (en) * 1988-07-25 1992-12-15 International Business Machines Corporation Laser methods for circuit repair on integrated circuits and substrates
US4885056A (en) * 1988-09-02 1989-12-05 Motorola Inc. Method of reducing defects on semiconductor wafers
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
US5075259A (en) * 1989-08-22 1991-12-24 Motorola, Inc. Method for forming semiconductor contacts by electroless plating
US5071518A (en) * 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
US5358907A (en) * 1990-01-30 1994-10-25 Xerox Corporation Method of electrolessly depositing metals on a silicon substrate by immersing the substrate in hydrofluoric acid containing a buffered metal salt solution
GB2259812B (en) * 1991-09-06 1996-04-24 Toa Gosei Chem Ind Method for making multilayer printed circuit board having blind holes and resin-coated copper foil used for the method
EP0535864B1 (en) * 1991-09-30 1998-07-29 AT&T Corp. Fabrication of a conductive region in electronic devices
JPH05148657A (ja) * 1991-10-04 1993-06-15 Toyota Central Res & Dev Lab Inc 光利用めつき液およびめつき方法
US5383484A (en) * 1993-07-16 1995-01-24 Cfmt, Inc. Static megasonic cleaning system for cleaning objects
DE4413077C2 (de) * 1994-04-15 1997-02-06 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur chemischen Behandlung von Substraten
US5571337A (en) * 1994-11-14 1996-11-05 Yieldup International Method for cleaning and drying a semiconductor wafer
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
KR0179784B1 (ko) * 1995-12-19 1999-04-15 문정환 반도체 웨이퍼 세정장치
US6132522A (en) * 1996-07-19 2000-10-17 Cfmt, Inc. Wet processing methods for the manufacture of electronic components using sequential chemical processing
US5660706A (en) * 1996-07-30 1997-08-26 Sematech, Inc. Electric field initiated electroless metal deposition
US5865894A (en) * 1997-06-11 1999-02-02 Reynolds Tech Fabricators, Inc. Megasonic plating system
US5830805A (en) * 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
KR20010032446A (ko) * 1997-12-10 2001-04-25 월터 알란 이. 전자 부품 제조를 위한 습식 공정 방법

Also Published As

Publication number Publication date
US6165912A (en) 2000-12-26
KR20010073173A (ko) 2001-07-31
JP2002524663A (ja) 2002-08-06
WO2000015352A1 (en) 2000-03-23
CN1317997A (zh) 2001-10-17
EP1115503A1 (en) 2001-07-18
AU6043799A (en) 2000-04-03

Similar Documents

Publication Publication Date Title
TW467767B (en) Electroless metal deposition of electronic components in an enclosable vessel
JP3117427B2 (ja) 超小型電子回路基板の改良された洗浄方法
US6897152B2 (en) Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication
TWI289327B (en) Electroless deposition methods
JP5136746B2 (ja) アルミニウム又はアルミニウム合金の表面処理方法
US7752996B2 (en) Apparatus for applying a plating solution for electroless deposition
US6295998B1 (en) Temperature controlled gassification of deionized water for megasonic cleaning of semiconductor wafers
TW201234472A (en) Method for cleaning silicon wafer and silicon wafer-cleaning apparatus
JP2012146690A (ja) 電子材料洗浄方法及び電子材料洗浄装置
TWI663287B (zh) Plating treatment method and memory medium
RU2492279C2 (ru) Неэлектролитическое осаждение барьерных слоев
US8377217B2 (en) Systems and methods for charging a cleaning solution used for cleaning integrated circuit substrates
TWI525690B (zh) 半導體基板的洗淨方法與洗淨系統
TWI509104B (zh) 釕之無電沈積用之鍍覆溶液
US7198662B2 (en) Electroless plating pre-treatment solution and electroles plating method
WO2020250495A1 (ja) pH調整水製造装置
JP2009249653A (ja) 電気めっき方法
KR101164128B1 (ko) 고온용 세라믹 히터 및 그 제조방법
JP2001185521A (ja) 半導体基板の洗浄方法
KR101224205B1 (ko) 반도체 배선용 무전해 은 도금액, 이를 이용한 무전해 도금 공정 및 이에 의해 제조된 은 피막
JP2000033376A (ja) 電解イオン水生成装置及び電解イオン水の生成方法並びに洗浄装置及び半導体装置の洗浄方法
KR101224206B1 (ko) 고안정성 무전해 은 도금액, 이를 이용한 무전해 도금 공정 및 이에 의해 제조된 은 피막
JPH0924350A (ja) ウエット処理方法及び処理装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees