CN1317997A - 在一个可密闭的容器中的电子元件的无电金属沉积 - Google Patents

在一个可密闭的容器中的电子元件的无电金属沉积 Download PDF

Info

Publication number
CN1317997A
CN1317997A CN99810935A CN99810935A CN1317997A CN 1317997 A CN1317997 A CN 1317997A CN 99810935 A CN99810935 A CN 99810935A CN 99810935 A CN99810935 A CN 99810935A CN 1317997 A CN1317997 A CN 1317997A
Authority
CN
China
Prior art keywords
solution
electronic components
metal deposition
metal
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN99810935A
Other languages
English (en)
Inventor
史蒂文·维哈沃贝克
克里斯托弗·F·麦康奈尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CFMT Inc
Original Assignee
CFMT Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CFMT Inc filed Critical CFMT Inc
Publication of CN1317997A publication Critical patent/CN1317997A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • C23C18/30Activating or accelerating or sensitising with palladium or other noble metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1655Process features
    • C23C18/1664Process features with additional means during the plating process
    • C23C18/1666Ultrasonics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1831Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/54Contact plating, i.e. electroless electrochemical plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/18Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material
    • H05K3/181Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating
    • H05K3/187Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating means therefor, e.g. baths, apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供采用可密闭的单个容器(20)将金属无电沉积到电子元件(22)的表面上的方法。本发明的方法包括以下步骤:使这些电子元件(22)与一种活化溶液接触,之后再使这些电子元件(22)与一种金属沉积溶液接触。在本发明的一个优选实施方案中,控制活化溶液和金属沉积溶液中的氧含量以便改善处理结果。在本发明的另一个实施方案中,活化和金属沉积溶液被使用一次,而不再重新使用。

Description

在一个可密闭的容器中的电子元件的无电金属沉积
相关申请的交叉参考
本申请要求在1998年9月17日提出的申请序列号为No.60/100,870的美国临时申请的权益,其中所披露的全部内容在此被引用作为参考。
本发明所属技术领域
本发明涉及使用可密闭容器把金属无电沉积到电子元件上的方法。更详细地说,本发明涉及用于活化电子元件的表面并且在可密闭单个容器中把金属无电沉积到电子元件上的湿处理方法。
本发明的背景技术
目前,在电子元件工业中采用各种方法把金属(例如铜、钴、金和镍)沉积或镀覆到电子元件表面上。这些方法包括例如化学气相沉积、金属溅射、电镀和无电金属沉积。
近年来无电金属沉积变得越来越受欢迎,该技术涉及到在没有电流的条件下(即,无电)把金属沉积到电子元件表面上。在电子组装工业使用无电金属沉积的实例是在印刷线路板上沉积铜。在半导体工业,无电沉积被用来把镍沉积在焊接组件上,在多芯片组件中无电沉积被用来沉积铜互连线。
无电金属沉积通常是通过首先引晶(seeding)或沉积一种会促进金属在电子元件表面沉积的物质而“活化”电子元件表面来进行的。但是,引晶可能不是必须的。例如,在含有钴、镍、铑或钯的基片上,引晶对促进金属沉积是不必要的。如果需要,引晶可以通过例如将电子元件浸泡在含有引晶剂的溶液中来进行。活化之后,一般将电子元件浸泡在含有金属离子和还原剂的溶液中。还原剂为金属离子提供了电子来源,从而接近或在电子元件表面的金属离子被还原成金属并被镀覆到电子元件表面上。
可以被无电沉积到电子元件表面上的金属有很多种,举例来说,包括铜、镍、钴、金、银、钯、铂、铑、铁、铝、钽、氮化钛、钛、钨、氮化钽、氮化钨、亚磷钴钨(cobalt tungsten phosphorous),或它们的组合物。目前特别令人感兴趣的是铜。
铜的无电沉积最通常是通过将电子元件简单地浸泡在含有钯的溶液中而引晶或活化电子元件表面来进行的。与电子元件接触的钯会沉积在导电金属表面上,例如铝、氮化钛、氮化钽、钨和铜,同时留下含有未引晶表面的氧化物。
引晶之后,无电铜沉积一般包括一个两步骤反应:引晶的导电表面的还原反应,然后在还原的导电表面上镀上离子化的铜。一般用于无电铜沉积的还原剂是甲醛(CH2O)。在这种情况中,第一步由下式表示:
    (反应式1)其中Had代表被吸收到表面上的氢。所吸收的氢以下列两种方式之一继续发生反应:                          (反应式2)或
             者                  (反应式3)
优选生成水和另外的电子(即反应式3),因为氢气的形成会导致气泡,以及随后产生的不均匀金属沉积。因此,优选对反应式3有利的反应条件,例如高pH值和缓慢的金属沉积速度。一旦电子元件的表面被还原,如果离子铜(氧化状态的铜)在该表面附近或就在其上出现,那么它会被镀覆在该表面上(在提供了电子的导电表面上Cu++/Cu+会被还原成Cu0):            (反应式4)              (反应式5)
当在湿处理系统中进行无电金属沉积时,一般在含有多个敞口槽(例如湿工作台)的系统中进行。使用多个敞口槽系统具有许多缺点。例如,氧对无电金属沉积的影响。例如,在活化过程中,氧妨碍了引晶,并且还会和沉积的引晶剂反应,从而使得该引晶剂在金属沉积过程中无效。然而,在金属沉积步骤中,氧能够防止金属沉积溶液的分解,并能降低金属沉积的速度以更好地控制该过程。在敞口的多槽系统中,控制氧含量是非常难的,因为槽对于大气是敞开的,并且电子元件从一个槽传送到另一个槽中。另外,因为槽溶液不经常更换,所以由于溶液中反应试剂的分解或浓度的波动,从而在金属沉积中槽与槽的偏差是经常发生的。
Shacham-Diamand等人的美国专利US5830805(以下称为“‘805专利”)提出了一种用于解决在敞开槽系统中所发现的氧暴露问题的方案。该‘805专利披露了一种无电沉积的设备和方法,包括在单个密闭处理腔中处理晶片,其中各种液体可以被依次送入该处理腔中。除了使用密闭处理腔的优点以外,在‘805专利中披露的设备和方法还有缺点。例如‘805专利披露了在所有处理步骤中要使氧含量最小化(例如在没有空气的情况下),尽管在无电金属沉积中某些步骤中氧是有益的。
另外,在‘805专利中披露的设备和方法重复使用和循环使用其溶液。但是,研究已经表明,例如,与重复使用的活化溶液相比,新鲜的活化溶液能够显著地改善金属沉积速度。参见R.Palmans,K.Maex,VLSI无电铜沉积的可行性研究(Feasibility Study ofElectroless Copper Deposition for VLSI),应用表面科学(Applied SurfaceScience)(1991)第53期,第345-352页,此文在这里被引用作为参考。另外,重复使用所造成的溶液浓度变化在多敞开槽系统中会导致不一致的加工处理结果。
本发明寻求解决上述问题的方法,例如通过提供一种无电金属沉积的方法,其中活化溶液和金属沉积最好被使用一次,而不重复使用。本发明还提供,例如基于和电子元件接触的溶液的类型来控制无电金属沉积过程中溶液中氧含量的方法。
发明概述
本发明提供在可密闭的单个容器中把金属无电沉积到电子元件表面上的方法。本发明方法的优点在于活化和金属沉积溶液优选只和电子元件接触一次,而不重复使用。另外,本发明的方法是优选用来控制溶液中的氧含量,其中这种控制是一致性处理结果所需要的。
在一个实施例中,本发明的方法包括以下步骤:把多个电子元件装进一个可密闭的单个容器中;制备出含有至少一种引晶剂的活化溶液,其中该活化溶液基本不含氧。然后将该活化溶液加入到容器中,与该容器中的电子元件接触一段第一接触时间,然后从容器中被除去。除了将电子元件与活化溶液接触之外,本发明还包括制备出含有至少一种金属离子源、至少一种还原剂和氧的金属沉积溶液。所形成的金属沉积溶液被加入到容器中,与容器中的电子元件接触一段第二接触时间,以使得金属沉积在电子元件的表面上。在至少一部份的第二接触时间中电子元件还受到声能的作用。在与金属沉积溶液接触之后,从容器中除去金属沉积溶液。
在本发明的一个优选实施例中,活化溶液和金属沉积溶液都只使用一次。另外,为了得到更一致的处理结果,活化溶液和金属沉积溶液优选在线制备。
本发明的方法还考虑到如果金属不需要引晶就可以被无电沉积的话,那么使电子元件表面具有引晶的活化步骤也不是必要的。
附图的简要说明
图1为用在本发明的方法中的可密闭的单个容器系统的示意图。
本发明的详细说明
本发明提供采用湿处理技术把金属无电沉积到电子元件的表面上的方法。本文所说“无电的”或“无电地”表示至少一种金属是在不用电流的情况下被沉积到电子元件的表面上的。本文所说“湿处理”表示电子元件与一种或多种液体(下文被称作“处理液体”)接触从而以一种所要求的方式处理电子元件。例如,在本发明中,这些电子元件最好与至少一种活化溶液以及至少一种金属沉积溶液接触。然而该电子元件可能还要求与其它处理溶液例如浸蚀、清洗或漂洗溶液接触。湿处理还可以包括以下步骤:电子元件与其它流体接触,例如气体、蒸汽或其组合。如在这里所使用的一样,术语“流体”包括液体、气体、在它们汽相状态下的液体,或者其任意组合。
本发明的方法可用于把金属沉积在任何电子元件上,该电子元件具有至少一部分能够被制作或已经被制作好以便进行无电金属沉积的表面。适用于无电金属沉积的电子元件的表面的例子包括金属表面,例如铝、氮化钛、氮化钽、钨、铜,硅,钴、镍、铑、钯或者其组合。氧化物的存在例如二氧化硅通常会抑制活化和金属沉积步骤,因此它在要求进行无电沉积金属的电子元件中是不受欢迎的。在本发明的方法中可用的电子元件包括电子元件的母体,例如半导体晶片、平板以及其它在电子元件(例如集成电路)制造中所用的元件;CD ROM光盘;硬驱动存储磁盘;多芯片组件;或者它们的组合。
在本发明的方法中可以采用任何可以被无电沉积的金属。可以被无电沉积的金属包括如:铜、镍、钴、金、银、钯、铂、铑、铁、铝、钽、氮化钛、钛、钨、氮化钽、氮化钨、亚磷钴钨或者其组合,最好为铜、镍、钴、金或者其组合。在一个最优选的实施例中,铜被无电沉积。
本发明的方法对于在这些应用中把金属沉积到电子元件表面上尤为有用,例如填充层与层之间的相互连接(例如插头和通路),以及形成接触件。优选的用途包括将一预备层的铜无电沉积以使得能够进行随后的电解电镀铜。
本发明的方法有许多优点。例如,活化和金属沉积步骤,如在下文所详细描述的一样,是在一个可密闭的单个容器中进行的。“可密闭的单个容器”指的是该容器能够与大气隔绝并且活化和金属沉积步骤是在同一个容器中进行的而不用拿走电子元件。采用一个可密闭的单个容器使得人们能够控制电子元件在整个湿处理过程中所受到的氧量。这种在氧量方面的控制能够导致在一个单一批量中以及在批量之间得到更加均匀的结果。另外,由于要进一步控制并且保持氧量,所以含有可密闭单个容器的系统最好具有一个气体调节装置以加入氧或从处理液体中除去氧,所述处理液体如在下面中更详细地描述的一样与电子元件接触。
本发明的方法另一个优点在于,与在电子元件的不同批量中被重复使用相反,活化溶液以及金属沉积溶液最好用过一次就废弃。还有,与被同一批量晶片循环使用相反,该活化溶液以及金属沉积溶液最好在一个单一道次中(没有循环使用)与电子元件接触。活化和金属沉积溶液的一次和单一道次的使用其结果降低了电子元件的污染并且在同一批量中或在电子元件的不同批量之间得到更均匀的金属沉积。
在本发明的方法中,把电子元件装进一个可密闭的单个容器中。这些电子元件在任何活化步骤之前可以根据任意所要求的湿处理技术进行随意地预处理。例如,可能需要清洗或浸蚀电子元件,以清理掉电子元件表面上原有的氧化物。在任意可选择的预处理步骤之后,活化溶液被准备好并被送进容器中。该活化溶液在容器中与电子元件接触一段第一接触时间,然后从容器中把活化溶液除去。在除去活化溶液之后的期间或在某些时刻将一种金属沉积溶液送进该容器中。该金属沉积溶液与这些电子元件接触一段第二接触时间,同时在至少一部分第二接触时间中电子元件受到声能作用。在除去金属沉积溶液之后,这些电子元件在从该容器中被拿走之前可以以任何所要求的进一步的方式进行处理。
如上所述,还可以想到在某些情况中本发明的方法可以在让电子元件不和活化溶液接触的情况下进行。例如,在电子元件的表面含有钴、镍、铑、钯或其组合的时候与活化溶液接触可以是不必要的,因为人们认为金属会在没有引晶剂存在的情况下无电沉积在这些类型的表面上。
如上所述,活化溶液和金属沉积溶液最好在一个单一道次中使用一次,并且不要被重复使用或循环使用。因此,由于经济原因,要求减小每个电子元件所需要的活化溶液和沉积溶液的体积。在本发明的一个优选实施方案中,以大约从1/4间距到1/2间距的范围内的间隔将这些电子元件装进容器中。在这里所用的术语“间距”指的是由位于Mountain View,California的SEMI所制定的电子元件之间的标准间隔。该标准间隔或间距对于不同尺寸的电子元件来说通常是不同的。因此,例如要确定以1/4间距被装进容器中的电子元件的间隔的话,要确定出装进容器中的电子元件类型所用的标准间距,然后将标准间距乘以0.25(即1/4),从而得到所述1/4间距的间隔。在本发明的一个优选实施方案中,电子元件以1/2、1/3或1/4的间距间隔,从而减小了每个电子元件所需要的处理液体的体积。在1999年5月4日申请的序列号为No.09/304,587的美国申请披露了在本发明的方法中适用的间距间隔的进一步的细节,该申请的全文在这里被引用作为参考。
在把电子元件装进容器中之后,这些电子元件与一种活化溶液接触一段第一接触时间。这些电子元件所接触的活化溶液是任意含有引晶剂的液体,所述引晶剂沉积在电子元件上并且有助于金属沉积到电子元件的表面上。该活化溶液优选为水基的(即含有水作为其它成分的溶剂),但是还可以含有少量有机溶剂例如乙二醇、碳酸丙烯或甲醇或其组合。本领域的普通技术人员会知道可以采用各种合适的引晶剂,并且引晶剂的选择取决于这变量,例如电子元件的表面成分以及所要无电沉积的金属。合适的引晶剂包括例如:含有钯、金的离子、元素或者化合物,或者其组合。对于铜、镍、钴、钯、金、铂、银或含有磷和/或硼的合金、或者其组合的无电沉积来说,优选使用元素钯或含有钯的离子或化合物。
在活化溶液中引晶剂所要求的浓度将取决于这些因素:所选择的引晶剂、所要求的处理条件(如温度、接触时间)以及所要处理的电子元件的成分。该浓度优选为这样:沉积小于一个单层的引晶剂(例如钯),更优选为每平方厘米的电子元件沉积1012到1014个原子或分子的引晶剂。另外,引晶剂的浓度最好要足够高,从而可以使与活化溶液的接触时间最小化。通常,在活化溶液中引晶剂的优选浓度为大约从0.0001摩尔/升(M)到0.01M,更优选为从0.0003M到0.007M,最优选为从0.0005M到0.004M。
除了引晶剂之外,在活化溶液中还可以存在其它组分。例如,可以存在有效去除或抑制不想要的氧化物的化合物,例如盐酸,或存在浸蚀剂如氢氟酸。它还可以包括弱酸,例如醋酸。在本发明的一个优选实施方案中,活化溶液是一种水性溶液,该水性溶液含有一种钯化合物(例如氯化钯)或者钯离子、氢氟酸、盐酸和醋酸。在表1中显示出用于特别对于铜的无电沉积来说尤其有用的活化溶液的优选组分。例如还可以参见C.H.Ting等人的“在VLSI多级互连结构中用于通路孔填充的选择无电金属沉积(Selective Electroless Metal Deposition forvia Hole Filling in VLSI Multilevel Interconnection Structures)”,电化学科学期刊(J.Electrochem.Soc.),卷.136,期No.2,1989年2月,第462-466页,该文献其全文在这里被引用作为参考。表1:活化溶液的优选组分
    活化溶液中的组分            量
    PdCl2     0.05克/升到0.8克/升
    HCl     0.1毫升到2毫升
    CH3COOH     100毫升到900毫升
    HF(50∶1体积比,H2O∶HF)     50毫升到500毫升
    H2O     50毫升到500毫升
例如,一种典型的活化溶液可以优选含有大约0.2克/升的PdCl2大约1毫升的盐酸、大约500毫升的冰醋酸、大约250毫升的水∶氢氟酸的体积比为50∶1的氢氟酸溶液;以及大约245毫升的去离子水。
该活化溶液还可以含有其它添加剂例如表面活性剂、防腐剂或者其它通常被加到活化溶液中的普通添加剂。这些其它添加剂在活化溶液中的体积百分比含量优选为小于大约5.0%,更优选为小于大约1.0%。可以采用的表面活性剂的例子包括阴离子的、非离子的、阳离子的以及两性表面活性剂,例如在由John Wiley&Sons,NY于1985年出版的Kirk-Othmer Concise Encyclopedia of Chemical Technology中的第1142页到1144页以及在McCutcheon’s Detergents and Emulsifiers,1981North American Edition,MC Publishing Company,Glen Rock,N.J.1981中所披露的,这些文献其全文在这里被引用作为参考。在本发明所用的防腐剂的一个例子为苯并三唑。
这些电子元件优选与活化溶液接触一段接触时间以充分地把引晶剂沉积在这些电子元件的表面上,从而有助于金属的无电沉积。在这里所用的“接触时间”指的是电子元件受到活化液体作用的时间。例如,接触时间将包括在用处理液体装满容器的过程中电子元件受到处理液体作用的时间;电子元件浸在处理液体中的时间;以及在从容器中将处理液体除去期间电子元件受到处理液体作用的时间。所选择的实际接触时间将取决于这些因素如:在活化溶液中存在的引晶剂、引晶剂的浓度以及活化溶液的温度。该接触时间优选为沉积比一层单层要少的引晶剂(如钯)原子或分子所用的时间,并且更优选为从大约每平方厘米1012到1014引晶剂原子或分子。为了完成这个数量的引晶,接触时间优选为大约1秒到大约60秒,并且更优选为大约5秒到大约30秒。
接触过程中活化溶液的温度是这样的:引晶剂沉积到电子元件上能够有效地进行。活化溶液的温度优选为小于60℃,并且更优选为大约15℃到大约40℃。
电子元件与活化溶液的接触可以采用任何对于那些本领域普通技术人员来说是公知的技术在可密闭的单一容器中进行。例如可以把这些电子元件放在一个容器中,并且可以引导活化溶液通过容器以用该溶液装满该容器,从而实现接触。接触可以在动态情况下进行(即,连续引导该溶液通过装有这些电子元件的容器),或在静态情况下进行(即把这些电子元件浸泡在该溶液中),或者在这两种情况结合的情况中(即引导该溶液通过容器一段时间,然后让这些电子元件浸泡在该溶液中另一段时间)。在本发明的一个优选实施方案中,在一个足以通过至少一个容器体积的活化溶液更优选通过大约2个容器体积到大约3个容器体积的活化溶液的时间中,活化溶液以大约为5加仑每分钟(gpm)到30gpm的流速被连续地送进容器中。下面将对用于接触这些电子元件的合适的湿处理系统进行详细描述。
这些电子元件与活化溶液的接触也优选以这样一种方式完成,即使得这些电子元件受到的氧化作用最小化。在活化步骤中氧的存在是不受欢迎的,因为氧会氧化金属表面,从而抑制引晶过程。另外,氧还会在金属沉积步骤之前令人讨厌地氧化所沉积的引晶。因此,本发明的方法通过使得这些电子元件在一个在用两种溶液处理期间保持与大气隔绝的容器中与活化溶液及金属沉积溶液接触的方法来使氧的影响最小化。另外,在本发明的一个优选实施方案中,处理活化溶液和/或一种或多种活化溶液的组分(例如水)以除去溶解的或悬浮的氧。该活化溶液优选基本上没有氧。这里“基本上没有氧”指的是,活化溶液包含大约0.25%或更少,更优选为0.1%或更少,最优选为0.01%或更少的在活化溶液中处于饱和状态的溶解的氧(氧的饱和度是在湿处理步骤期间容器中的条件下来确定的)。在常用的湿处理温度下,活化溶液通常优选含有小于基于流体总重的大约50ppb的溶解的或悬浮的氧,并且最优选的是氧含量尽可能地低。
在这些电子元件已经与活化溶液接触一段足够的时间之后,将该活化溶液从容器中除去。可以以任何对于那些本领域普通技术人员来说是公知的方式从容器中把该活化溶液除去。例如,可以从该容器中将该活化容器排出,在排出活化溶液之后或者在排出活化溶液期间可将接下来所要求的处理液体送进容器中。在本发明的一个优选实施方案中,通过直接用所要求与这些电子元件接触的下一步的处理液体替换活化溶液来除去活化溶液。例如,该活化溶液可以被一种漂洗液体或一种金属沉积溶液来替换。例如在美国专利No.4,778,532中披露了用于直接替换的合适方法,该文献其全文在这里被引用作为参考。
采用直接替换来除去活化溶液的优点在于,电子元件不会受到气-液界面的作用,而导致还原的颗粒杂质和/或电子元件的氧化。另外,直接替换所进行的方式使得最先受到活化溶液作用的电子元件是最先受到下面处理液体作用的电子元件。“先进-先出”处理方法导致了更均匀的结果,因为在容器中所有电子元件的接触时间大致相同。
将电子元件顺次与活化溶液相接触后,使电子元件与金属沉积溶液接触。金属沉积溶液是含有金属源(例如金属离子或者含有或形成金属离子的化合物)的任何液体。通过电子元件与金属沉积溶液相接触,金属沉积在电子元件表面上。优选的,金属沉积溶液含有至少一种金属离子源和至少一种还原剂。还原剂提供用于将金属离子(正氧化态)还原成金属元素(0氧化态)的电子源。金属离子源可以是例如自由金属离子,含有金属离子的化合物,或溶解在液体中形成金属离子的化合物。其中溶解或分散金属离子源的液体溶液优选是水,但是也可以是或含有有机溶剂例如乙二醇、醋酸、碳酸丙烯或甲醇,或其组合。
用于本发明的金属离子源的实例包括金属处于正氧化态的金属盐。金属盐包括例如铜、镍、钴、金、银、钯、铂、铑、铁、铝、钽、钛、钨的金属盐或其组合。特别优选的金属盐包括例如硫酸铜、氯化铜、硫酸钴、氯化钴、六水硫酸镍、六水氯化钴、七水硫酸钴、氯化钯、氮化钛、氮化钽、氮化钨,或其组合。该领域的技术人员会知道有各种其它的金属离子源可以用于本发明。
合适的还原剂包括能够在金属沉积溶液中提供电子的任何化合物。还原剂的实例包括例如次磷酸钠、甲醛、硼氢化物、胺基硼烷例如二甲胺基硼烷、肼或其组合。甲醛是用于铜无电沉积的特别优选的还原剂,而次磷酸钠、硼氢化物、胺基硼烷或肼是用于镍或钴无电沉积的特别优选的还原剂。
金属沉积溶液也优选含有金属配位剂和调节pH值的添加剂。金属配位剂用于保持金属离子源在金属沉积溶液的pH值下可溶解。因此,如果金属沉积溶液的pH值能够使得金属离子源可溶解,那么金属配位剂就不是必要的。合适的金属配位剂包括例如含有金属配位剂基团的化合物,该金属络合基团包括酒石酸盐、柠檬酸盐例如柠檬酸钠、乳酸、琥珀酸盐例如琥珀酸钠、氨水、EDTA或其组合。调节pH值的添加剂用来将金属沉积溶液的pH值调节至还原剂最适宜产生电子的pH值。合适的pH调节剂包括例如氢氧化钠、氢氧化铵、盐酸、醋酸或其组合。金属沉积溶液也可以含有其它添加剂,例如防腐剂;减少在沉积过程中氢气的形成的添加剂,例如表面活性剂(如前所述)或活化剂(例如Pd);或其组合。该领域的普通技术人员会知道该金属沉积溶液中可以含有各种金属配位剂、调节pH值的添加剂和其它添加剂。这些添加剂的选择取决于以下因素:例如被沉积的金属、电子元件的表面成分和处理条件。
金属离子源、还原剂、金属配位剂、调节pH值的添加剂和其它添加剂在金属沉积溶液中的浓度取决于如下因素,例如被沉积的金属、所选择的金属离子源、所要求的沉积速度和处理条件例如金属沉积溶液的温度和接触时间。优选的,金属沉积溶液中金属离子的浓度约为至少0.005M,更优选的约为0.005M-0.7M。金属沉积溶液中还原剂的浓度约为至少0.005M,更优选的约为0.005M-10M,最优选的约为0.5M-5M。优选金属沉积溶液的组成实例如表Ⅱ所示。也参见C.H.Ting等人的“在VLSI多层次互连结构中通路孔填充的选择性无电金属沉积”,电化学科学期刊,Vol.136.,No.2(1989.2)462-466页。表Ⅱ中的组成只是作为示例之用,该领域的普通技术人员会知道可以使用各种浓度的金属沉积溶液。
                                    表Ⅱ金属沉积溶液组成的实例
沉积的金属 金属离子源 还原剂 金属配位剂 调节pH值的添加剂
Cu    约0.005M-0.05M的CuSO4 约0.5M-5M的HCHO 约0.01M-0.15M的酒石酸二钠盐 NaOH将pH值调节至约10.5-12.5
 Ni 约20.0g/l-60.0g/l的NiSO46H2O 约0.5g/l-4.0g/l的二甲胺基硼烷 约5.0g/l-35.0g/l的柠檬酸钠 NH4OH将pH值从约5调节至7
 Co 约5.0g/l-30.0g/l的CoSO47H2O 约1.0g/l-8.0g/l的二甲胺基硼烷 约15.0g/l-65.0g/l的琥珀酸钠(6H2O) NH4OH将pH值从约4.5调节至7.5
 CoWP 约5.0g/l-60.0g/l的CoCl26H2O和约1.0g/l-20.0g/l的(NH4)2WO4 约5.0g/l-40.0g/l的Na2H2PO2 约40.0g/l-120.0g/l的Na3C6H4O72H2O 三甲基氢氧化铵和KOH将pH值调节至9-9.6
在要求使铜无电沉积的本发明的一个优选实施例中,优选的金属沉积溶液含有约0.014M的CuSO4(金属离子源);约0.079M的酒石酸二钠盐(金属配位剂);约1.8M的甲醛(还原剂)和足够的NaOH以将pH值调节至约11.3。
本发明已经发现,优选以缓慢的沉积速度沉积金属(例如低于约1nm金属/分钟)。优选具有缓慢的金属沉积速度,从而金属的沉积更有选择性并且沉积在与其它表面例如氧化的电子元件表面或容器壁相对的金属引晶表面上。缓慢的沉积速度也有助于使得还原剂例如甲醛的还原过程中氢气的形成最小化。影响金属沉积速度的因素包括金属离子和还原剂在金属沉积溶液中的浓度,金属沉积溶液中溶解或悬浮的氧的含量,金属沉积溶液的pH值和金属沉积溶液的温度。例如金属沉积速度随着金属离子和还原剂浓度的下降、金属沉积溶液中溶解或悬浮的氧浓度的提高、以及溶液温度的下降而下降。PH值对金属沉积速度的影响取决于被沉积的金属。
金属沉积溶液中溶解或悬浮的氧优选保持在至少在金属沉积溶液中饱和状态下溶解的氧含量约0.25%的浓度,更优选为约0.25%-25%,最优选为约2.5%-9%(氧饱和量是在容器中金属沉积溶液的条件下确定的)。对于常规的湿处理条件来说,在金属沉积溶液中的氧浓度优选大约在50ppb到5ppm的范围内,并且优选为大约0.5ppm到1.7ppm。如上所述,通过具有这种含量的氧,金属沉积就能够以一个更低的速度进行。另外,氧的存在还能够提高金属沉积溶液中的金属离子源的稳定性,例如防止分解。
电子元件优选与金属沉积溶液接触一段足够的接触时间以沉积出一层厚度至少大约为5nm的金属层,更优选为大约20nm到大约50nm。所选择的实际接触时间将取决于这些因素,例如金属离子源的浓度,还原剂,以及在金属沉积溶液中溶解的或悬浮的氧,以及金属沉积溶液的PH值和温度。接触时间优选为至少大约1分钟,并且更优选为大约2分钟到大约60分钟。
在接触期间金属沉积溶液的温度是这样的:金属沉积受到控制,并且实现一个慢沉积速度(例如,优选为大约1nm每分钟或更少)。金属沉积溶液的温度优选小于大约35℃,更优选为大约15℃到大约30℃,最优选为大约20℃到大约30℃。
电子元件与金属沉积溶液的接触可以通过上述的用活化溶液来接触电子元件的任意湿处理技术来进行。例如,金属沉积溶液可以被引导穿过容器以用溶液把该容器充满,从而实现接触。另外,接触可以在动态条件下进行,或者在静态条件下,或者在两个条件组合的条件下。在一个优选的实施方案中,接触是通过用金属沉积溶液把容器充满并且把电子元件浸泡在金属沉积溶液中一段所要求的浸泡时间来进行。在浸泡之后,金属沉积溶液可以采用任意在上面所述的技术从容器中除去。在一个优选实施方案中,通过直接用另一种溶液如一种漂洗液体替换该金属沉积溶液来把金属沉积溶液从容器中除去。
在本发明的一个优选实施方案中,在至少一部分与金属沉积溶液的接触时间内,电子元件受到大约18kHz或更大的频率的声能作用,并且更优选为大约20kHz到大约2MHz。通过引入声能,在接触期间,通过增强搅拌、除去不想要的粒子、和/或去除能够反向影响沉积过程的气泡,从而能获得更加均匀的沉积结果。声能在接触期间尤其优选在静态条件下(如:浸泡)被用来提供搅拌以便克服物质传递限制。在本发明的一个优选实施方案中,电子元件受到在大约为600KHz到大约2MHz的范围中的声能的作用,更优选为大约600KHz到大约1.2MHz。这种“高频率”声能范围一般指的是“兆声波”。声能优选保持至少50%的接触时间,更优选为至少80%的接触时间,并且最优选为至少95%的接触时间。
声能可以按照任意对于本领域普通技术人员来说是公知的技术来产生。例如声能可以通过一种由压电材料制成的变换器来产生和传送,该变换器在受到机械应力的时候变得被电极化并且在受到电极化的时候会产生机械变形。例如参见Gale等人的“超声波和兆声波颗粒除去的实验研究(Experimental Study of Ultrasonic and MegasonicParticle Removal),精确清洗(Precision Cleaning),Vol.Ⅱ,No.4,1994年4月(“Gale Article”)”。
在金属已经被沉积在这些电子元件上之后,在用金属沉积溶液接触这些电子元件之后与这些电子元件接触的任何流体优选基本上不含有氧。这种流体通常优选含有小于基于流体总重的大约50ppb,并且最优选含有尽可能少的溶解或悬浮的氧。通过在这些流体中含有低量的溶解或悬浮的氧,从而显著降低在这些电子元件的表面上的金属出现氧化的危险。
除了使这些电子元件与活化溶液和金属沉积溶液接触,这些电子元件可以与任意种类的其它化学处理流体(例如气体,液体,蒸汽或其组合)接触以获得所要求的结果。“化学处理流体”或“化学处理液体”指的是任意以某种方式与电子元件的表面反应的液体或流体以改变电子元件的表面成分。例如,该化学处理液体或流体能够去除所附着的污染物或化学粘附在这些电子元件的表面上的污染物如微粒的、金属的、光致抗蚀剂或有机材料,或者能够浸蚀电子元件的表面,或者能够在电子元件的表面上生长出一层氧化层。因此,这些电子元件可以与下列化学处理流体接触:例如用来浸蚀的化学处理流体(在下面被称为浸蚀流体),用来生长出一层氧化层的流体(在下面被称为氧化物生长流体),用来去除光致抗蚀剂的流体(在下面被称为光致抗蚀剂去除流体),以提高清洗的流体(在下面被称为清洗流体),或者其组合。在湿处理方法过程中,这些电子元件也可以用一种漂洗流体随时进行漂洗。化学处理流体和漂洗流体优选为液体。
在本发明所用的化学处理流体包含一种或多种化学反应的试剂以获得所要求的表面处理。这些化学反应试剂基于化学处理流体的浓度优选大于1000ppm,并且更优选大于10,000ppm。对于化学处理流体来说还可能包含100%的一种或多种化学反应试剂。例如清洗流体通常包含一种或多种腐蚀剂例如一种酸或碱。用于清洗的合适的酸包括,例如硫酸、盐酸、硝酸或王水。合适的碱包括例如氢氧化铵。清洗流体中的腐蚀剂的所要求的浓度将取决于所选择的特定腐蚀剂和所要求的清洗数量。这些腐蚀剂也可以采用氧化剂例如臭氧或过氧化氢。优选清洗溶液为含有水、氨水和过氧化氢的“SC1”溶液和含有水、过氧化氢和盐酸的“SC2”溶液。通常用于SC1的浓度其H2O∶H2O2∶NH4OH的体积比在大约5∶1∶1到大约200∶1∶1的范围内。通常用于SC2的浓度其H2O∶H2O2∶HCl的体积比为大约5∶1∶1到大约1000∶0∶1的范围内。
除了清洗流体之外,可能还要求用溶剂例如丙酮、异丙醇、N-甲基吡咯烷酮或其组合接触电子元件。这些溶剂为化学反应性试剂,用于例如除去有机物或提供其它的清洗益处。
在本发明所用的合适的浸蚀流体含有能够去除氧化物的试剂。在本发明中通常所用的浸蚀剂为例如氢氟酸、缓冲的氢氟酸,氟化铵或其它在溶液中产生出氢氟酸的物质。含有浸蚀溶液的氢氟酸可以含有例如H2O∶HF体积比为大约4∶1到1000∶1的H2O和HF。
在本发明中所用的光致抗蚀剂去除流体包括例如含有硫酸的溶液以及一种氧化物质例如过氧化氢、臭氧或其组合。
本领域普通技术人员会知道在湿处理期间可以采用各种处理流体。在Werner Kern等人在由Academic Press,NY 1978出版的由JohnL.Vosser等人编辑的“薄膜处理(Thin Film Processes)”一书中第401-496页中的“化学浸蚀”一文中披露了在湿处理期间所能用的处理流体的其它示例,此文其全文在这里被引用作为参考。
除了用化学处理流体与电子元件接触之外,该电子元件也可以与漂洗流体接触。漂洗流体用于从电子元件和/或容器中除去残余的化学处理流体,反应副产物和/或由化学处理步骤游离或释放出的颗粒或其它杂质。漂洗流体也可以用于防止所释放的颗粒或杂质在电子元件或容器上再沉积。漂洗流体的温度优选为低于60℃,更优选的为约20℃到60℃,最优选的为约20℃到40℃。
可以选择能够有效地获得上述效果的任何漂洗流体,在漂洗流体的选择过程中,应当考虑以下因素,例如要被漂洗的电子元件的表面性质,溶解在化学处理流体中的杂质的性质,要被漂洗的化学处理流体的性质。并且,所提出的漂洗流体应当与和该流体接触的结构的材料相容(即相对的非反应性)。可以使用的漂洗流体包括例如水、有机溶剂、有机溶剂的混合物、臭氧水或其组合。优选的有机溶剂包括以下所披露的用于作为干燥溶液的有机化合物,例如C1-C10醇,优选为C1-C6醇。优选的漂洗流体是液体,更优选的是去离子水。
漂洗流体也可以选择性地包含少量化学反应性试剂以提高漂洗效果。例如,漂洗流体可以是一种盐酸或醋酸的稀的水性溶液,以防止例如金属沉积在电子元件的表面上。表面活性剂、防腐剂和/或臭氧是在漂洗流体中所使用的其它添加剂。这些添加剂在漂洗溶液中的浓度是微量的。例如,该浓度基于漂洗流体的总重以重量计优选不大于大约1000ppm,更优选不大于100ppm。在臭氧的情况中,在漂洗流体中的浓度优选为5ppm或更少。
本领域普通技术人员会认识到化学处理流体以及化学处理流体和漂洗流体的顺序的选择将取决于所要求的湿处理结果。例如,这些电子元件会在一次或多次化学处理步骤之前或之后与漂洗流体接触。或者,在某些湿处理方法中可能要求一次化学处理步骤直接在另一次化学处理步骤之后,而不用在两次化学处理步骤之间使电子元件与漂洗流体接触(即没有中间的漂洗)。这种没有中间漂洗的连续湿处理被披露在例如在1998年1月29日公开的PCT申请WO98/03273中,该文献的全文在这里被引用作为参考。
在本发明的一个实施方案中,这些电子元件可以在与活化溶液接触之前与任意数目的化学处理流体和/或漂洗流体接触。这种处理对于除去会干扰无电金属沉积的杂质或其它材料来说是必要的。例如,可能要求使这些电子元件在与活化溶液接触之前与一种光致抗蚀剂去除溶液、一种清洗溶液和/或一种浸蚀溶液接触。在本发明的一个优选实施方案中,这些电子元件受到处理以去除可能存在在这些电子元件表面上的原有氧化物。为了除去原有氧化物,这些电子元件优选与一种浸蚀溶液接触,例如盐酸。
在本发明另一个实施方案中,可能要求在把这些电子元件装进容器中之前或之后,但是必须在这些电子元件与活化溶液接触之前,用一种清洗溶液与该容器接触,例如一种含有盐酸或一种碱的溶液。与含有盐酸或一种碱的溶液接触优选把可能已经沉积在前一批电子元件中的容器的侧壁上的残余的引晶剂去除。盐酸溶液尤其优选从容器中把残余的钯去除。如果这些电子元件的表面没有受到清洗溶液的反面影响的话,那么这些电子元件可以在与清洗溶液接触期间在容器中存在。如果这些电子元件会受到清洗溶液的反面影响的话,那么优选在将这些电子元件装进容器中之前用清洗溶液来漂洗该容器。
在本发明的另一个实施方案中,如果这些电子元件的表面已经没有污染物和原有氧化物的话,那么在用活化溶液接触这些电子元件之前要求用一种漂洗溶液例如去离子水与这些电子元件接触,从而使这些电子元件的表面湿润。在这样一种湿处理步骤中该漂洗流体的温度优选为大约20℃到大约60℃,并且更优选为大约20℃到大约40℃。
在本发明的另一个实施方案中,在用活化溶液与这些电子元件接触之后,但是在用金属沉积溶液与这些电子元件接触之前,可以用一种漂洗流体与这些电子元件接触。在该实施方案中的漂洗流体优选为处于大约20℃到大约60℃温度的去离子水。这些电子元件优选与漂洗流体接触一段足够的接触时间以把残余的化学物质和/或在活化作用期间产生出的反应副产物去除掉。在该实施方案中,优选漂洗流体基本上不含氧。还可能如上所述直接在用金属沉积溶液与这些电子元件接触之前,用活化溶液与这些电子元件接触,从而在这两个化学处理步骤之间没有任何中间漂洗。
在用金属沉积溶液与这些电子元件接触之后,在本发明中这些电子元件优选与温度为大约20℃到大约60℃的去离子水的漂洗流体接触。该漂洗步骤优用来在使这些电子元件与金属沉积溶液接触之后去除残余的化学物质和/或在这些电子元件的表面上或在容器中保留的反应副产物。该漂洗流体优选基本上不含氧以使所沉积金属被氧化的危险最小化。
因此,按照本发明的方法对这些电子元件进行湿处理具有各种方式。例如,在这些电子元件与一种处理液体接触期间可以采用声能(例如在兆声波能量的范围内)来进行湿处理,以提高清洗效果或用来进行更加均匀的金属沉积。这些方法可以包括例如在美国专利No.5,383,484;1998年1月29日公开的PCT申请No.WO98/03273;以及1999年6月17公开的WO99/30335;1999年2月19日申请的美国专利申请No.09/253,157;1999年2月25日申请的美国专利申请No.09/257,488;1999年6月2日申请的序列号为No.09/324,813的美国专利申请;1998年12月8日申请的序列号为No.60/111,350的美国临时专利申请和1999年1月5日申请的序列号为No.60/114,757的美国临时专利申请中所披露的湿处理技术,这些文献所披露的全文在这里被引用作为参考。
在容器中最后的湿处理步骤之后,优选将这些电子元件烘干。“干燥”或“烘干”指的是最好使得这些电子元件基本上不含有液滴。通过在干燥期间把液滴去除掉,从而当这些液滴蒸发的时候,在液滴中存在的杂质就不会保留在这些电子元件的表面上。这些杂质会另人讨厌地在这些电子元件的表面上留下印迹(例如水迹)或其它残余物。然而可以想到干燥可以简单地包括去除处理或漂洗流体,例如在一股干燥流体流的帮助下或通过其它对于本领域普通技术人员来说是公知的方法。
可以采用任何干燥系统或方法。合适的干燥方法包括例如蒸发、在旋转漂洗烘干机中的离心力、蒸汽或化学干燥或其组合。
干燥的优选方法是使用干燥流体流来直接替换在干燥之前电子元件在容器中所接触的最后的处理溶液(以下称之为“直接替换干燥”)。合适的直接替换干燥的方法和系统在例如美国专利US4778532,4795497,4911761,4984597,5571337和5569330中所披露的。其它可以使用的直接替换干燥剂包括由Steag、Dainippon和YieldUp制造的Marangoni型干燥剂。最优选的,使用美国专利US47911761中披露的系统和方法来干燥电子元件。
优选的,干燥流体流是由部分或全部蒸发的干燥溶液形成的。该干燥流体流可以是例如过热的,蒸汽和液体的混合物,饱和蒸汽,或蒸汽和不凝结的气体的混合物。
所选择的用来形成干燥流体流的干燥溶液优选可以与容器中的最后处理流体混溶,并不与电子元件表面反应。干燥溶液也优选具有较低的沸点以利于干燥。例如,干燥溶液优选选自常压下沸点低于约140℃的有机化合物。可以使用的干燥溶液的实例是蒸气,醇例如甲醇、乙醇、1-丙醇、异丙醇、正-丁醇、仲丁醇、叔丁醇或叔戊醇、丙酮、乙腈、六氟丙酮、硝基甲烷、醋酸、丙酸、乙二醇单甲基醚、二氟乙烷、醋酸乙酯、醋酸异丙酯、1,1,2-三氯-1,2,2-三氟乙烷、1,2-二氯乙烷、三氯乙烷、全氟-2-丁基四氢呋喃、全氟-1,4-二甲基环己烷或其组合。优选的,该干燥溶液是C1-C6醇,例如甲醇、乙醇、1-丙醇、异丙醇、正-丁醇、仲丁醇、叔丁醇、叔戊醇、戊醇、己醇或其组合。
在本发明的优选实施例中,所选择的干燥溶液就在干燥处理之前与处理容器中的处理溶液相混溶,然后与处理溶液形成最低沸点的共沸混合物。由于水对于化学处理或漂洗流体来说是最方便和经常使用的溶剂,因此与水形成最低沸点的共沸混合物的干燥溶液是特别优选的。
本发明的方法在单个可密闭容器系统中进行。在本发明的一个优选实施例中,电子元件被装在单个容器系统中,该单个容器系统如美国专利US4778532、4917123、4911761、4795497、4899767、4984597、4633893、4917123、4738272、4577650、5571337和5569330中披露的,这些专利的全文此处被引用作为参考。优选的可市购的单个容器系统是Full-FlowTM容器,例如由CFM Technologies公司制造的,由Steg制造的Poseidon、由Dainippon Screen制造的FL820L。这些系统是优选的,因为它们可以更容易地控制氧含量。另外,这些系统被设计成使得湿处理和干燥可以在同一个容器中进行,可以减少电子元件出现氧化和污染的危险。
用于本发明的可密闭单个容器系统优选能够以各种顺序接收不同的处理流体。向容器传送处理流体的优选方法是通过用一种流体直接替换另一种。由CFM Technologies公司制造的Full-FlowTM湿处理系统是能够通过直接替换传送流体的系统的一个实例。
在本发明的另一个优选实施例中,可密闭的单个系统能够在进入容器之前在线形成例如活化溶液和金属沉积溶液这样的处理流体。“使用点混合”这一点具有许多优点。例如通过在线形成活化和金属沉积溶液,从而不希望的副反应被最小化,活化和金属沉积溶液的分解也可能少了。例如在典型的铜金属沉积溶液中,氧的溶解度取决于HCOO-(甲酸离子)的浓度。随着金属沉积溶液的寿命提高,甲酸离子浓度的提高导致了氧溶解度的改变。如前所述,在金属沉积溶液中氧含量的变化影响金属沉积速度。因此,为了保持金属沉积速度恒定,金属沉积溶液通过使用点混合而尽可能地保持新鲜。另外,通过使用点混合,可以避免在存储箱中活化和金属沉积溶液的自动镀的现象,并且在容器中自动镀的风险也被降低。因此,使用点混合可以提供活化和金属沉积溶液中更一致的成分浓度,从而导致一个槽之内和槽之间的偏差减少。
如前面所述的一次使用活化和金属沉积溶液的组合,并在进入容器之前在线形成溶液,从而可以进一步改善处理结果。例如,可以在所有的时间内更一致地保持溶液浓度,从而可以避免槽与槽之间所沉积的金属层厚度的不均匀性。
可以用各种方法在线形成(即,使用点混合)活化溶液和金属沉积溶液。例如,可以预混合和购买稳定的浓缩形式的某种溶液,并在使用之前把它们储存起来。然后可以将一种或多种所储存的溶液以分离物料流的形式送入系统中并与去离子水组合。然后将所得到的物料流送入容器中与电子元件相接触。所储存的溶液可以含有例如一种试剂或当彼此结合时具有储存稳定性的多种试剂的混合物。优选的,所储存的溶液为浓缩形式,从而需要较小的储存空间。
对于活化溶液,活化溶液中的引晶剂和其它成分中的每一种可以分开储存,并在线与去离子水结合在一起以形成活化溶液。或者,活化溶液中的引晶剂和所有其它成分中的一种或多种,例如氢氟酸、醋酸和盐酸可以储存为同一浓缩溶液(只要所储存的溶液具有储存稳定性),并在线与去离子水和其它任何所需要的溶液在被送入容器中之前结合。
对于金属沉积溶液,为了储存稳定性,优选将含有金属离子源(例如金属盐)的溶液和含有还原剂的溶液分开储存,并在线与去离子水结合。也优选将金属配位剂(如果有的话)分开储存,或与含有金属离子源的溶液一起储存。金属配位剂也可以和含有还原剂的溶液一起储存。优选调节pH值的添加剂分开储存,或与含有金属离子源的溶液以及含有还原剂的溶液中的一种或两种一起储存。
用于本发明方法中的可密闭单个容器优选能够,例如用另一种处理液体直接替换处理流体并在线形成处理流体,如图1所示。图1表示用于本发明方法中的可密闭单个容器系统10。该单个容器系统10包括以下部件:容器组件2,用于向容器组件2提供干燥流体流64的干燥流体组件50,用于从容器组件2中抽出或向其泵送处理流体的泵组件52,注入组件54,去离子水混合组件56和用于控制去离子水中气体含量的气体控制单元58。
容器组件2包括带有用于支持晶片22的支撑件(未示出)的处理容器20,以及相应的顶部和底部流体口24,26。去离子水混合组件提供去离子水30的物料流,它可以任选被进一步分为去离子水物料流30A,30B,30C和30D。
注入组件54向容器组件2提供一种或多种化学物料流32A,32B,32C和32D。在被送入处理容器20之前,一种或多种化学物料流32A,32B,32C和32D可被任选在混合阀36A-36D处分别与去离子水物料流30A,30B,30C和30D结合。然后所得的一种或多种稀释的化学物料流被直接通过阀17送入处理容器20作为处理流体流38。如图1所示,处理流体流38可以只含有去离子水,一种或多种化学试剂,或去离子水和一种或多种化学试剂的混合物。因此,这种注入组件54可以提供如前所述的使用点混合。
图1所示的另一特征是让在处理流体流38的化学试剂浓度有时间稳定,通过排放管线96的排放阀18打开和阀17关闭,处理流体流38可以被导入排放设施98。
处理流体流38优选通过管线25进入处理容器20,通过底部流体口26,接触晶片22,然后通过管线23退出顶部流体口24。也可以将处理流体流38从顶部送至底部。根据所希望的处理,退出顶部流体口24的处理流体流38在阀16打开而阀12关闭时,可以被导入管线95,排放管线96和排放设施98。泵组件52可以用于使物料流53和55通过系统管线冲刷去离子水30。
气体控制单元58用于控制被送入处理容器20之前的去离子水中的气体例如氧的含量。在优选实施例中,气体控制单元使用由HoechstCelanese公司的Separation Products Group(Charlotte,NC)制造的Liqui-Cel®气一液接触器。优选的,气体控制单元具有以受控方式抽真空和保持真空、以受控方式加入额外气体的能力,并能够操纵具有不同流速的处理流体。适合的气体控制单元的进一步细节,以及这种单元的操作被披露在例如序列号为09/253157的美国申请中(1999.2.19申请),此处引用其全文作为参考。
干燥流体组件50通过阀65和12(阀16关闭)把一股干燥流体流64输送到处理容器20中。因此优选从顶部流体口24把干燥流体流64送进处理容器20中。干燥可以通过把干燥流体流64通过阀65和阀12经过顶部流体口24并且进入处理容器20中来在处理容器一开始就装满处理液体的情况下来完成。在干燥流体流替换处理流体的时候,该干燥流体流优选与处理流体混合并且在处理流体的顶部上形成一层区别开的烘干流体层(未示出)。该处理流体和干燥流体层通过管线25离开处理容器20,然后被引导穿过阀14,78,79和81。可以选择采用排放泵80以使得能够更好地控制处理流体和干燥流体流的下降速度以优化烘干效果。阀82被用来通过管线83把处理流体/干燥流体流引导到干燥流体回收系统(未示出),或者通过管线85被引导到排放设施98处。
在干燥之后,可以从容器中把这些电子元件拿走,然后以任何所要求的方式进行进一步处理。
虽然上面已经针对特定的优选实施方案对本发明进行了说明,但是对于那些本领域普通技术人员来说,显而易见的是可以对那些设计做出各种各样的改进和变化。所提供的说明书是用于说明性目的的而不是试图限制本发明。

Claims (20)

1.一种将金属无电沉积到电子元件上的方法,包括以下步骤:
(a)把多个电子元件装进一个可密闭的单个容器中;
(b)制备出一种含有至少一种引晶剂的活化溶液,其中该活化溶液基本上不含氧;
(c)把所述活化溶液送进容器中,使容器中的电子元件与所述活化溶液接触一段第一接触时间,然后从容器中把所述活化溶液除去,其中活化溶液与电子元件接触一次;
(d)制备出一种金属沉积溶液,该溶液含有至少一种金属离子源,至少一种还原剂以及氧;
(e)把所述金属沉积溶液送进该容器中,并且使容器中的这些电子元件与所述金属沉积溶液接触一段第二接触时间,从而把金属沉积到这些电子元件的表面上,其中金属沉积溶液与这些电子元件接触一次;
(f)使这些电子元件受到声能作用,作用时间为至少一部分第二接触时间;并且
(g)从容器中除去所述金属沉积溶液。
2.权利要求1的方法,其中通过把至少一股浓缩储备的溶液流与一股去离子水流混合以形成一股要被送进到容器中的活化溶液流或者金属沉积溶液流,从而在线形成所述活化溶液或者金属沉积溶液,或者这两种溶液。
3.权利要求1的方法,其中通过把至少一股含有金属离子源的物料流、一股含有还原剂的物料流和一股去离子水流混合,形成一股要被送进到容器中的金属沉积溶液流,从而在线形成所述金属沉积溶液。
4.权利要求3的方法,其中所述金属沉积溶液流还包括至少一种调节PH值的添加剂和至少一种金属配位剂。
5.权利要求4的方法,其中所述金属配位剂和所述调节PH值的添加剂存在于含有金属离子源的物料流中,或者分别与包含金属离子源的物料流和包含还原剂的物料流混合。
6.权利要求1的方法,其中所述金属离子源提供的金属离子选自:铜、钴、镍、金或它们的组合物。
7.权利要求6的方法,其中所述金属离子为铜离子。
8.权利要求1的方法,其中所述引晶剂含有至少一种钯的化合物、钯元素或离子,或者其组合。
9.权利要求1的方法,其中通过直接用另一种处理液体替换来把所述活化溶液或金属沉积溶液或者这两种溶液从容器中除去。
10.权利要求9的方法,其中所述活化溶液直接被金属沉积溶液替换。
11.权利要求9的方法,其中活化溶液直接被一种漂洗液体替换,并且该漂洗液体直接被金属沉积溶液替换。
12.权利要求1的方法,还包括在使这些电子元件与活化溶液接触之后,并且在使这些电子元件与金属沉积溶液接触之前,使这些电子元件与一种漂洗溶液接触。
13.权利要求1的方法,还包括在从容器中把金属沉积溶液除去之后或期间漂洗这些电子元件的步骤。
14.权利要求1的方法,还包括在所述容器中用一种干燥流体流干燥这些电子元件的步骤。
15.权利要求1的方法,其中这些电子元件在容器中相互间隔大约1/2间距到大约1/4间距。
16.权利要求1的方法,还包括在使这些电子元件与活化溶液接触之前,把氢氟酸送进到容器中以及从容器中把氢氟酸除去的步骤。
17.权利要求1的方法,还包括在使这些电子元件接触活化溶液之前,使这些电子元件与一种用来从这些电子元件的表面除去氧化物的溶液接触的步骤。
18.权利要求1的方法,其中这些电子元件与金属沉积溶液的接触包括以下步骤:使该容器充填有金属沉积溶液,在有兆声波能量的情况下把这些电子元件浸泡在金属沉积溶液中,然后在浸泡之后从容器中把金属沉积溶液除去。
19.权利要求1的方法,其中这些电子元件与活化溶液的接触包括以下步骤:使至少一个容器体积量的活化溶液通过所述容器,然后通过直接用另一种处理液体替换来除去该活化溶液。
20.权利要求1的方法,其中金属沉积溶液中的氧含量保持在大约50ppb到大约5ppm的范围内。
CN99810935A 1998-09-17 1999-09-16 在一个可密闭的容器中的电子元件的无电金属沉积 Pending CN1317997A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10087098P 1998-09-17 1998-09-17
US60/100,870 1998-09-17
US09/395,398 US6165912A (en) 1998-09-17 1999-09-14 Electroless metal deposition of electronic components in an enclosable vessel
US09/395,398 1999-09-14

Publications (1)

Publication Number Publication Date
CN1317997A true CN1317997A (zh) 2001-10-17

Family

ID=26797637

Family Applications (1)

Application Number Title Priority Date Filing Date
CN99810935A Pending CN1317997A (zh) 1998-09-17 1999-09-16 在一个可密闭的容器中的电子元件的无电金属沉积

Country Status (8)

Country Link
US (1) US6165912A (zh)
EP (1) EP1115503A1 (zh)
JP (1) JP2002524663A (zh)
KR (1) KR20010073173A (zh)
CN (1) CN1317997A (zh)
AU (1) AU6043799A (zh)
TW (1) TW467767B (zh)
WO (1) WO2000015352A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101152652B (zh) * 2006-09-29 2011-02-16 北京北方微电子基地设备工艺研究中心有限责任公司 一种阳极氧化零件表面的清洗方法

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030017998A1 (en) * 1997-05-16 2003-01-23 Snow Alan D. Proanthocyanidins for the treatment of amyloid and alpha-synuclein diseases
JP3003684B1 (ja) * 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US6391477B1 (en) * 2000-07-06 2002-05-21 Honeywell International Inc. Electroless autocatalytic platinum plating
US20070208087A1 (en) 2001-11-02 2007-09-06 Sanders Virginia J Compounds, compositions and methods for the treatment of inflammatory diseases
US20020119245A1 (en) * 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
US6573183B2 (en) 2001-09-28 2003-06-03 Agere Systems Inc. Method and apparatus for controlling contamination during the electroplating deposition of metals onto a semiconductor wafer surface
US6875474B2 (en) * 2001-11-06 2005-04-05 Georgia Tech Research Corporation Electroless copper plating solutions and methods of use thereof
US6703712B2 (en) 2001-11-13 2004-03-09 Agere Systems, Inc. Microelectronic device layer deposited with multiple electrolytes
US6911230B2 (en) * 2001-12-14 2005-06-28 Shipley Company, L.L.C. Plating method
JP2005536628A (ja) * 2002-04-03 2005-12-02 アプライド マテリアルズ インコーポレイテッド 無電解堆積法
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US7156927B2 (en) * 2002-04-03 2007-01-02 Fsi International, Inc. Transition flow treatment process and apparatus
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US6821324B2 (en) * 2002-06-19 2004-11-23 Ramot At Tel-Aviv University Ltd. Cobalt tungsten phosphorus electroless deposition process and materials
US8257781B1 (en) 2002-06-28 2012-09-04 Novellus Systems, Inc. Electroless plating-liquid system
US6872659B2 (en) * 2002-08-19 2005-03-29 Micron Technology, Inc. Activation of oxides for electroless plating
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20050022909A1 (en) * 2003-03-20 2005-02-03 Xinming Wang Substrate processing method and substrate processing apparatus
JP4245996B2 (ja) * 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7119019B2 (en) * 2004-03-31 2006-10-10 Intel Corporation Capping of copper structures in hydrophobic ILD using aqueous electro-less bath
US20060035016A1 (en) * 2004-08-11 2006-02-16 Chandra Tiwari Electroless metal deposition methods
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP2006241580A (ja) * 2005-03-07 2006-09-14 Ebara Corp 基板処理方法及び基板処理装置
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
WO2006102318A2 (en) 2005-03-18 2006-09-28 Applied Materials, Inc. Electroless deposition process on a contact containing silicon or silicide
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US7913644B2 (en) * 2005-09-30 2011-03-29 Lam Research Corporation Electroless deposition system
US7972652B2 (en) * 2005-10-14 2011-07-05 Lam Research Corporation Electroless plating system
JP2007243032A (ja) * 2006-03-10 2007-09-20 Seiko Epson Corp 配線基板の製造方法
KR100818089B1 (ko) * 2006-08-30 2008-03-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8110254B1 (en) * 2006-09-12 2012-02-07 Sri International Flexible circuit chemistry
US7981508B1 (en) * 2006-09-12 2011-07-19 Sri International Flexible circuits
US20080175986A1 (en) * 2007-01-24 2008-07-24 Kenneth Crouse Second surface metallization
US7989029B1 (en) 2007-06-21 2011-08-02 Sri International Reduced porosity copper deposition
US8628818B1 (en) * 2007-06-21 2014-01-14 Sri International Conductive pattern formation
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8143164B2 (en) * 2009-02-09 2012-03-27 Intermolecular, Inc. Formation of a zinc passivation layer on titanium or titanium alloys used in semiconductor processing
US8895874B1 (en) 2009-03-10 2014-11-25 Averatek Corp. Indium-less transparent metalized layers
JPWO2010103893A1 (ja) * 2009-03-13 2012-09-13 株式会社Adeka 金属含有薄膜の製造方法における残存水分子除去プロセス及びパージソルベント
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8413320B2 (en) 2011-01-28 2013-04-09 Raytheon Company Method of gold removal from electronic components
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140154406A1 (en) * 2012-11-30 2014-06-05 Lam Research Corporation Wet activation of ruthenium containing liner/barrier
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
FR3013995A1 (fr) * 2013-11-29 2015-06-05 Commissariat Energie Atomique Procede ameliore de metallisation d'un materiau poreux
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9941244B2 (en) 2013-12-09 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Protective layer for contact pads in fan-out interconnect structure and method of forming same
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US20160040294A1 (en) * 2014-08-08 2016-02-11 Uni-Pixel Displays, Inc. Method of controlling oxygen levels for electroless plating of catalytic fine lines or features
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10577692B2 (en) * 2017-01-05 2020-03-03 International Business Machines Corporation Pretreatment of iron-based substrates for electroless plating
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10508351B2 (en) * 2017-03-16 2019-12-17 Lam Research Corporation Layer-by-layer deposition using hydrogen
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3801368A (en) * 1970-11-25 1974-04-02 Toray Industries Process of electroless plating and article made thereby
US4152467A (en) * 1978-03-10 1979-05-01 International Business Machines Corporation Electroless copper plating process with dissolved oxygen maintained in bath
US4466864A (en) * 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4984597B1 (en) * 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4778532A (en) * 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4633893A (en) * 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4738272A (en) * 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4577650A (en) * 1984-05-21 1986-03-25 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4574095A (en) * 1984-11-19 1986-03-04 International Business Machines Corporation Selective deposition of copper
US4795497A (en) * 1985-08-13 1989-01-03 Mcconnell Christopher F Method and system for fluid treatment of semiconductor wafers
US4967690A (en) * 1986-02-10 1990-11-06 International Business Machines Corporation Electroless plating with bi-level control of dissolved oxygen, with specific location of chemical maintenance means
JP2675309B2 (ja) * 1987-09-19 1997-11-12 パイオニア株式会社 無電解めっき方法及びその装置
US5171709A (en) * 1988-07-25 1992-12-15 International Business Machines Corporation Laser methods for circuit repair on integrated circuits and substrates
US4885056A (en) * 1988-09-02 1989-12-05 Motorola Inc. Method of reducing defects on semiconductor wafers
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
US5075259A (en) * 1989-08-22 1991-12-24 Motorola, Inc. Method for forming semiconductor contacts by electroless plating
US5071518A (en) * 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
US5358907A (en) * 1990-01-30 1994-10-25 Xerox Corporation Method of electrolessly depositing metals on a silicon substrate by immersing the substrate in hydrofluoric acid containing a buffered metal salt solution
GB2259812B (en) * 1991-09-06 1996-04-24 Toa Gosei Chem Ind Method for making multilayer printed circuit board having blind holes and resin-coated copper foil used for the method
DE69226411T2 (de) * 1991-09-30 1998-12-24 At & T Corp Herstellung eines leitenden Gebietes in elektronischen Vorrichtungen
JPH05148657A (ja) * 1991-10-04 1993-06-15 Toyota Central Res & Dev Lab Inc 光利用めつき液およびめつき方法
US5383484A (en) * 1993-07-16 1995-01-24 Cfmt, Inc. Static megasonic cleaning system for cleaning objects
DE4413077C2 (de) * 1994-04-15 1997-02-06 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur chemischen Behandlung von Substraten
US5571337A (en) * 1994-11-14 1996-11-05 Yieldup International Method for cleaning and drying a semiconductor wafer
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
KR0179784B1 (ko) * 1995-12-19 1999-04-15 문정환 반도체 웨이퍼 세정장치
US6132522A (en) * 1996-07-19 2000-10-17 Cfmt, Inc. Wet processing methods for the manufacture of electronic components using sequential chemical processing
US5660706A (en) * 1996-07-30 1997-08-26 Sematech, Inc. Electric field initiated electroless metal deposition
US5865894A (en) * 1997-06-11 1999-02-02 Reynolds Tech Fabricators, Inc. Megasonic plating system
US5830805A (en) * 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
WO1999030355A1 (en) * 1997-12-10 1999-06-17 Cfmt, Inc. Wet processing methods for the manufacture of electronic components

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101152652B (zh) * 2006-09-29 2011-02-16 北京北方微电子基地设备工艺研究中心有限责任公司 一种阳极氧化零件表面的清洗方法

Also Published As

Publication number Publication date
WO2000015352A1 (en) 2000-03-23
AU6043799A (en) 2000-04-03
JP2002524663A (ja) 2002-08-06
US6165912A (en) 2000-12-26
EP1115503A1 (en) 2001-07-18
TW467767B (en) 2001-12-11
KR20010073173A (ko) 2001-07-31

Similar Documents

Publication Publication Date Title
CN1317997A (zh) 在一个可密闭的容器中的电子元件的无电金属沉积
US6897152B2 (en) Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication
US6555158B1 (en) Method and apparatus for plating, and plating structure
US20060102485A1 (en) Electroless plating method, electroless plating device, and production method and production device of semiconductor device
US20040035316A1 (en) Electroless plating bath composition and method of using
JP2016186127A (ja) シリコン貫通ビア内への銅の電着のための、ニッケルライナおよびコバルトライナの前処理
CN1867697B (zh) 无电镀铜溶液和无电镀铜方法
US20140299476A1 (en) Electroplating method
JP2003526936A (ja) 電子部品処理用の方法及び装置
RU2492279C2 (ru) Неэлектролитическое осаждение барьерных слоев
US7731801B2 (en) Semiconductor wafer treatment method and apparatus therefor
US20050170650A1 (en) Electroless palladium nitrate activation prior to cobalt-alloy deposition
KR100859259B1 (ko) 캡층 형성을 위한 코발트 계열 합금 무전해 도금 용액 및이를 이용하는 무전해 도금 방법
CN1592548A (zh) 印制电路板的制造方法
TWI509104B (zh) 釕之無電沈積用之鍍覆溶液
US10508351B2 (en) Layer-by-layer deposition using hydrogen
CN1918325A (zh) 用于在单个室中的无电沉积期间选择性改变薄膜成分的方法和装置
JP2003533865A (ja) 電子構成要素の製造でオゾン含有プロセス流体を用いて電子構成要素を湿式処理する方法
JP2020204062A (ja) めっき方法、プログラムを記憶する不揮発性の記憶媒体
CN1352703A (zh) 具有含铜表面的电子元器件的湿法处理方法
CN1890401A (zh) 用含钴合金对铜进行选择性自引发无电镀覆
US20050241947A1 (en) System and method for an increased bath lifetime in a single-use plating regime
WO2021024599A1 (ja) 無電解めっきの前処理方法及び無電解めっきの前処理液
KR100970067B1 (ko) 스루홀을 가진 프린트 배선 기판에의 무전해 도금용 촉매,및 그 촉매를 이용하여 처리된 스루홀을 가진 프린트 배선기판
KR101224205B1 (ko) 반도체 배선용 무전해 은 도금액, 이를 이용한 무전해 도금 공정 및 이에 의해 제조된 은 피막

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned
C20 Patent right or utility model deemed to be abandoned or is abandoned