TW451271B - Method for forming fine pattern - Google Patents
Method for forming fine pattern Download PDFInfo
- Publication number
- TW451271B TW451271B TW089110238A TW89110238A TW451271B TW 451271 B TW451271 B TW 451271B TW 089110238 A TW089110238 A TW 089110238A TW 89110238 A TW89110238 A TW 89110238A TW 451271 B TW451271 B TW 451271B
- Authority
- TW
- Taiwan
- Prior art keywords
- film
- photoresist
- substrate
- pattern
- silicon nitride
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0045—Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Materials For Photolithography (AREA)
- Drying Of Semiconductors (AREA)
Description
* 4512 71 五、發明說明(l) ----- 本發明係有關於使用氮化石夕系膜之新穎的微細圖案形 成方法。特別是有關於使形成微細圖案時的微影製程中光 阻的駐波(standing wave)致果為最小值,並且使在裝 置衣&工程中氮化石夕系膜的穩定性增加之微細圖案的形成 方法’本發明可利用於例如形成製造半導體裝置等時的微 細圖案之方法。 為了滿足ULS I製造所要求之尺寸經密度及解像度之微 影製程的周邊技術,習知為減低由基板而曝光光之反射的 技術(反射防止技術)。由基板而反射之曝光光,例如為 因光阻膜之感光性薄膜的内部之薄膜干涉所引起。發生這 樣的薄膜干涉時,會發生稱為駐波之光阻膜厚度方向的曝 光,而減低光阻圖案的解像度。 又’因基板而使曝光之光反射時,會發生所謂多重干 涉之伴隨光阻的膜厚度變動之尺寸變動,該變動會惡&光 阻圖案的尺寸精度。再者,因基板而反射之曝光的光,由 於基板的凹凸、而隨機地在斜面方向行進。因此會使本來 應遮光的區域感光而發生無法形成所希望的圖案之問題 (白色模糊影像(halation))。由於該問題會因來自基 板的反射光強度之比例而越明顯,因此如果減低反射光則 可控制該問題。因此,已有比以前更多的討論嘗試減低來 自基板的反射光。 反射防止的方法根據其原理可大致分為兩類。其中之 一為所讀的吸光性膜,也就是使雨吸收曝光之光性質強的 膜作為反射防止膜之方法,另一方法為种用光干涉進行反
第4頁 4512 71 五'發明說明(2) 射防止之方法。 前者之代表例為在塗佈光阻前在基板上塗佈吸光性有 機膜之 ARC CAnti-Reflective coating)方法。由於大部 分透過光阻向基板之光在到達基板面前被吸光性有機膜所 吸收’因而抑制了來自基板返回至光阻膜之光的強度。再 者’ARC方法是記載於1991年的(Proceeding of SPIE) 14 6 3卷第16至29頁,以及特開昭59-93448號公報。 利用光干涉之反射防止技術例如是在A丨、w、s i、i 等高反射基板上,沈積Si 〇xNy、Si Νχ等反射防止膜之方 法。該方法中反射防止膜的厚度是設定在從光阻和反射防0 止膜之境界面的反射光以及從反射防止膜和基板之境界面 的反射光互相為逆位相的關係。此時,由於該等反射光在 反射防止膜的内部互相抵銷,因而減低進入光阻之反射 光。 該方法是記載於特開昭59-654 0號公報、特開昭 57-130481 號公報以及 1994 年之(Proceeding of SPIE) 2197 卷第 722 至 732 頁或 1982 年之(Technical Digests of International Electron Device Meeting)第399 至402 頁。
在段差大之下地基板上使用ARC時,段差上部如第1圖 所示’反射防止膜1 02的臈厚度變得比段差下部薄β因此 考慮段差上部的情況下必須設定反射防止膜為充分的膜厚 度。然而’形成微細圖案時使用厚膜的反射防止膜,對圖 案厚度之反射防止膜的膜厚度比,也就是縱橫比(aspect
第5頁 451271 五、發明說明(3) ratio)變得非常大。此時,反射防止膜的加工非常困 難’同時容易發生形成之圖案倒塌的不良現象。 相對於此’使用利用光干涉之反射防止法的以〇3£化、 Si Nx等反射防止膜,由於可以CVI)方法沈積,即使在下地 基板上發生段差,亦可得到均一膜厚度。因此如果利用光 干涉之反射防止法’可得到比ARC方法優良之反射防止效 果0 利用光干涉之反射防止法所習知使用之s i 〇χ 、s i Νχ
等反射防止膜的表面含有大量鹼性的氮素。該膜上塗佈 positive type (以下稱為正型)之化學放大光阻進行曝 光時’在曝光後實行光阻的加熱步驟(PEB步驟),光阻 中的酸與反射防止膜表面的氮素所具有之非共有電子對鍵 結。其結果為在光阻和基板之境界面的附近,會發生氧濃 度降低的情況。 光阻在氧濃度低下的部分有較不溶解於顯像液的特 性。因此’在光阻和基板之境界面附近之氧濃度下降,結 果易發生光阻圖案波形失真β光阻圖案的波形失真因為使 圖案線寬的控制性惡化’因此不是較佳的現象。 為了解決上述問題,(;特開平1〇_189441號公報)揭 j 露在反射防止膜的表面積層不含氮素的物質(例如以電漿U CVD方法做成之SiO膜)之後塗佈光阻的方法。然而,本發 明人等進行之實驗,發現在反射防止膜上沈積Si〇膜,並 , 無法防止光阻的的波形失真。 又’ S i 0X Ny、S i Nx等反射防止臈,例如在未滿4 〇 〇 °c溫
第6頁 451 2 71
度下以電漿CVD方法沈積時’該等膜中包含大量的氫原 子。在半導體裝置中殘留含多量的氫原子之膜的裝置製 過程進行中,在該製程中進行多樣的熱工程,因而反射 止膜中的氫從膜中脫離而往非結晶矽.鋁以及銅等的電 部分及BPSG等之層間膜的部分擴散。該等現象會引起電路 材及層間膜的品質劣化,並使裝置的可靠性減低。 例如,如第4圖之步驟,沈積反射防止膜7步驟後 間膜12 (BPSG等)的成膜及回流(refl〇w)之步驟。上^〔 步驟所使用的溫度通常為7〇〇〜8〇〇。〇,會引起反射防止臈7 之膜組成變化。特別是習知的方法在沈積氮化矽系之臈 時,膜中所含有的氩(包含在Si_H、N_H鍵)脫離而變化 膜組成。其結果是發生獏的光學定數的變化和應力變化、 膜的剝離。如果發生該等變化之膜無法作為反射防止膜的 功效,將給予裝置之各特性不良影響。 在微細圖案的形成步驟完成之後剝離除去反射防止膜 可有效的防止起因於氫素脫離之品質降低。然而,該處理 將直接造成步驟的增加。
沈積S i Ox Ny、S i Nx等反射防止膜之方法,亦可為減壓 CVD。如果是減壓CVD ’可減少反射防止膜中的氫原子濃 度。不過以減壓CVD沈積反射防止膜,則必須加熱基板至 8 0 0 °C左右。 在這樣咼溫下的處理基板會發生熱變形。而且以減壓 CVD沈積之膜比一般的膜内應力高,基板容易變形。由於 半導體裝置製造用的基板在今後傾向於越來越大,因此控
第7頁 4512 7 1 五'發明說明(5) 制基板的熱變形及膜内應力是重要的。 反射明’使用叫〜、㈣等反射防止膜而控制 反射先的衫響之方法,雖然比ARC方法優良,但由 Ϊί ((;; 案之波形失真、(2)裝置的可靠::降低 以及(3)基板容易變形等問題。 - 本發明為了解決上述問題,旨在於提供 變形、可防止裝置的可靠性降低且可形成精= 圖案的微細圖案之形成方法。 良好之先阻 (1 )為了達到上述目的,本發明之微細 地基板上塗佈光阻並以翠一波長曝光而案形之成= 成微細圖案之方法,包括:在下地基板上,直 :層沈積虱化矽糸m;在前述膜上’直接或經由其他層形 成光阻,’將前述光阻進行曝光,而轉寫光罩圖案;以及以 轉寫之光阻圖案作為光罩而蝕刻氮化矽系膜。 (2 )前述氮化矽系膜對195 nm以下的 〇有U以上3.5以下的折射率,以及以以上㈠/下較的佳衰 減係數,並具有l〇nm以上2〇〇nm以下的膜厚度。如果滿足 本條件’冑述氮切系之膜則具有充分作為反射防 功能。 (3 )則述沈積氮化矽系膜步驟較佳是使用電漿裝 。置且配置刖述下地基板部分的溫度設定在4〇〇°c以上7〇〇 c以下,且原材料氣體中所含之氨設定在1〇m〇i%以 進行。
第8頁 451271 五、發明說明(6) _ 如果使用該溫度範圍及氣體條件沈積氮化矽系膜則可 減低膜中的氫濃度。 〇 (4)在上述方法加入前述光阻形成步驟之前,在400 C以上7〇〇 °c以下的溫度條件下使用電漿CVD裝置而沈積前 述氧化^夕膜步驟是有效的方法。 本發明所得之氧化矽膜是在40 0 °C以下形成比習知的 氧化發膜之膜密度高,因此可控制化學放大型光阻所含的 氧擴散至氡化矽膜中。且,氧化矽中所含之氮的含有量可 變小。 根據本發明’氫的含有濃度可變小,且可形成膜内應 力變小的氮化矽系的反射防止膜。因而以第4圖等之步驟 之膜組成的變化和脫落氣體大至上不會發生且光學定數亦 穩定。因此’幾乎沒有後來高溫的步驟加入之裝置下層之 膜組成的變化’而可使用反射防止膜。又,裝置的製造工 程上,在沒有移除反射防止膜時等由於是熱穩定的,而難 以給予裝置的各特性影響。 簡單圖式說明. 第1圖係顯示習知技術(ARC方法)問題的概念圖。 第2 a ~ f圖係顯示本發明實施例1以及比較例1之製程的 剖面圖。 第3圖係顯示實施例1及比較例丨之對於從光阻_基板界 面之光強度反射率之氮化矽膜厚度依存性的圖。 第4a~f圖係顯示本實施例2及比較例2之製程之剖面 圖0
451 2 71 五、發明說明(7) --- 而的r^)係顯不實施例2中對於從光阻-BPSG基板界 面的下地1£域之光強度反射率的氮化矽磨厚度依 圖。 第5圖(b )係顯示實施例2中對於從光阻_bpsg基板界 面的下2區域之光強度反射率的氮化石夕磨厚度依存性的 圖。 第6圖(a )係顯示比較例2中對於從光阻基板界 面的下地1區域之光強度反射率的氮化矽磨厚度依存性的 圖。 第6圖(b )係顯示比較例2中對於從光阻_BpsG基板界 面的下地1區域之光強度反射率的氮化矽磨厚度依存性的 圖。 圖 第7a〜f圖係顯示本實施例3及比較例3之製程之剖面 第8圖係顯示實施例3中對於從光阻—氧化矽基板界面 之光強度反射率的氮化矽磨厚度依存性的圖。 第9圖係顯示比較例3中對於從光阻-氧化矽基板界面 之光強度反射率的氮化矽磨厚度依存性的圖。 r 第圖(a)為以實施例3方法圖案化的15〇 nm寬度的光 阻圖案剖面圖。 第1 0圖(b)為以比較例3方法圖案化的1 5〇 nm寬度的光 阻圖案剖面圖。 第Π圖為本發明之實施例4及比較例4之試料的剖面 圖。
2118-3224-PF.ptd 第10頁 451271 五、發明說明(8) 第1 2圖係顯示藉由本發明之實施例4及比較例4之方法 成膜之氮化矽膜的昇溫脫離分析(TDS )的結果。 實施例 實施例1 以下參考第2及3圖說明本發明之實施例1。 苐2圖所示為說明本發明之實施例1的微細圖案形成方 法的步驟。在第2圖中,符號1及1’分別代表光阻及光阻圖 案’符號2及2’則分別代表加工前後的鎢膜(w膜),符號 3及3’分別代表加工前後的氮化鈦膜(TiN膜),符號4及 4分別代表加工前後的聚矽膜。又,第2圖中符號5代表氧 化碎膜(Si〇2膜)’符號6為基板,符號7為氮化矽膜。更 進一步’第2圖中,符號8及9代表光阻1的曝光所用的曝光 光及光罩。 本實施例之微細圖案形成方法中,如第2圖所示,在 被加工基板6上以電漿CVD方法沈積氮化矽膜7,在該氮化 石夕膜上部形成光阻1 β本實施例中,光阻1是使用Clar iant 公司製造之聚丙烯系正型ArF光阻β 為了計算發生在光阻丨和氮化矽膜7之境界面之反射光 =,射率’以刀光橢圓對稱儀一eiiipS〇ffleter)測 =光阻1、氣化碎膜7、w膜2、1>^膜3的光學定數。其結果 得$對波長1 93 nm的光之表丄的值。再者,氮化矽膜7的成 膜疋使用曰本ASM公司所製的電漿CVI)裝置,以5〇〇充的溫 度條件’原料氣體是採用SiH4(2〇〇sccm^〇N2 (1〇〇〇sccm 3 〇
4512 71 五、發明說明(9) 使用镇結果計算從光阻1到基板6之界面的區域(以下 稱為光阻-基板界面)所形成的反射率,求出如下所示之 特性。 第3圖顯示有第2圖之構成之下地(從基板6到氮化矽 赛.1.)的上方塗佈具有前述表1之光學定數之光阻1進行曝 光時,光阻-基板界面中強度反射率就是入射光的強度I和 反射光強度R的比I/R對氮化矽膜7的膜厚度之依存性的計 算結果。 上述計算可使用例如「基礎光學(255頁)」(工| 惠榮•上原富美哉著:現在工學社)等文獻詳述之計算 ^進行。如第3圖所示之結果判斷反射防止膜(氮化妙權 :的膜厚度為73nm時,從下地的反射率成極小值可箱细 07線寬度控制性。 阻1 表1 物質 率 衰減勝數 公司製光阻 1.71 0.018 氮化矽 0.959 0.448 鎢Cw) 1.305 3.201 TiN 丨丨〜 1.629
再者’為了使線寬度控制性達到最大值,而採用從光 至下地界面之區域發生之反射率為極小值的條件,但
4 512 71 五、發明說明 反射率為極小值並不是必須的口 θ 值為最小值的條件下可;要疋抑制強度反射率的 度即可。 了達到微影技術所要求之尺寸精度程 本實施例的微細圖案形 以電漿CV0方法在膜2上 t方法根據上述的計算結果’ 之後,具有前述表Μ光學定的I化梦膜7。 (第2圖(a))。 之先阻1為〇. 5 //m之膜厚度 接,以ArF準分子雷射步進機(6χ stepper)進行圖案曝光(第2 氫氧化氨水溶液等之有機鹼系 。之後,以四甲基 理,报忐η 1 s 〜 驗系水〉谷液為顯像液進行顯像處 理’形成0.15以m之密集線圖案(第之圖⑷)。 之4電(SEM)觀察上述所得之光阻圖案 有於4在^严声太=有圖案侧面的粗糙不平。該結果為沒 : 、子又之曝光不均,總之是指可抑制從下地 基板的反射而減低膜干涉效果。χ,上述的微細圖案形成 方法不會發生從下地的亂反射而產生圖案尺寸的波形失 '一真,即,確認可得到防止白色模糊影像,而其結果良好的 光阻圖案。 本實施例的微細圖案形成方法中,經上述之處理得到 之光阻圖案Γ作為光罩將氮化矽膜7蝕刻,進行圖案轉寫 (第2圖(d))。在本實施例中,上述的蝕刻是使用Lam研 究室製造的蝕刻裝置(TCP-94 0 0 ),採用CHF3為蝕刻氣體 而進行。 接著’藉由將基板6暴露於氧氣電漿氣體中,除去光
第13頁 4512 71 五、發明說明(11) 阻圖案Γ (第2圖(e))。繼續將圖案轉寫之氮化矽膜7作 為光罩蝕刻下地基板。上述之蝕刻使用應用材料股份有限 公司製造的標準蝕刻系統裝置,使用蝕刻氣體HBr/Cl2 02混 和氣體而進行。藉由進行上述一連串步驟,可在基板6上 轉寫〇.15#m密集配線圖案。 使用Ho 1 on公司所製的關鍵性尺寸測量系統 (critical dimension measurement system (ESPA-61 ) )調查晶圓内2 5點的配線圖案之線寬的變化,結果示於表 2。如表2所不’本實施例的微細圖案形成方法形成之晶圓 内的線寬變化是以3 σ值表示,為9. 7 nm。再者,測定支 配線圖案的設計值假設為1 5Onm。其結果發現本實施例的 微細圖案形成方法具有充分的尺寸控制性。 表2 氮化矽膜厚度 C 3σ值〕 實施例1 73 nm 9.7 nm 比較例1 45 nm 19.2 nm 比較例1 以下,說明與實施例1之方法比較之比較例1。本比較 例的圖案形成方法,與實施例1同樣地,如第2圖所示以電 漿CVD方法在被加工基板6的上面沈積氮化矽膜7 ’繼續在
第14頁 五、發明說明(12) ------ 其上,形成例如聚丙烯系的正型光阻所組成之光阻層。 但j本比較例與實施例i不同的是氮化矽膜7的膜厚度 ’’·、 nm。再者’上述以外的步驟與實施例1相同。以與實 ,例1相同的方法計算’以比較例1形成之晶圓上光阻—基 丄界面中強度反射率為34% (參考第3圖),為比實施例1 大的值。 H〇i〇n公司所製的關鍵性尺寸測量系統(ESPA-61 )調 —晶圓内25點的配線圖案之線寬的變化之結果,該變化3 :,為19.2nffl (參照表2 )。如上所述看出比較例1的方法 、光阻基板界面之強度反射率大,而判斷比實施例1的方 法之線寬控制性要低。 然而,上述實施例中光阻i的曝光波長限定為〗93nm , 但本發明並未限定,如果曝光波長為1 95rm以下。亦可得 到同等的效果。 又’上述的實施例中’氮化矽膜7的折射率及衰減係 t刀別限疋為1·959及0.448,但本發明並不限於上述值。 具體的說,折射率在4至3. 5的範圍内,衰減係數在 0. 2至0· 8的範圍内,可得到相同的效果。 上述之實施例中,氮化矽膜7的膜厚度限定為”⑽, 二阁不限於該膜厚度,氮化矽膜7的膜厚度至2〇〇η 範圍内皆可。 …。上述之貫施例中,氮化矽膜7的成膜時之溫度限 ϋ C,但並不限於該溫度,在400至70trc的範圍内
第15頁 4512 71 五、發明說明(13) 更進一步,上述之實施例中,氮化矽膜7的成膜時的 氣體條件限定為§丨{14(20〇3(^111)/%(100〇3(:(:111),但並不限 於該氣體條件,原材料中的氨量在1 〇m〇 1 %以下亦可。 實施例2 接著參考第4至6圖說明本發明之實施例2。 第4圖所示為說明本發明實施例2的微細圖案形成方法 的步驟。再者,第4圖中與第2圖所示之構成要素相同且對 應’因此省略同一符號所附的說明。 第4圖中,符號1〇代表加工之矽化鎢膜(WSi膜),符 號11代表加工之非晶質矽膜,又符號丨2及12,分別表示加 工前後的BPSG膜。再者’非晶質矽膜1〇和基板6之間介有 與該等絕緣的氧化矽膜(圖中未示)。 本實施例的微細圖案形成方法,如第4圖所示,在已 閉配線圖案化的下地基板6上以電漿CVD方法沈積氮化石夕 膜。在本實施例中’是使用直徑3 0 0mm的半導體裝置製造 之晶圓為基板6。氮化矽膜7上沈積約為1〇〇0nm膜厚度的摻 雜领及碟之氧化矽膜(BPSG ) 12膜。更進一步,在BPsg 1 2上形成光阻1 ^本實施例中,光阻1是使用東京應化製造 的環烯烴系正型光阻。 為了計算光阻1和BPSG膜12的境界面(以下稱為「光 BPSG界面」)發生之反射光的反射率,藉由分光糖圓 ^稱儀測定光阻1、肿%膜12、氮化矽膜7 1^膜1〇的光 子定數。其結果得到對於波長19311111之表3的值。再者,氮 化石夕膜7的成膜與和實施例j相同,使用日本公司所製 451271 五、發明說明(14) 造的電槳CVD裝置,設定以下為成膜涤件:
成膜溫度:5 0 0 °C 氣體條件:SiH4 ( 20 0 sccm)/N2(l〇〇〇sccm) 表3 m 砸孪 衰聊數 ...................m 170 0.018 實触J2.t酬2獅 1.959 0.44S 銳例2獅 IlW 2663 0.^0 t_J2 獅 WSi 1.032 2223 觀例2*jr_j2娜 BPSG 1.562 _ 銳例2.tb*^J2獅 從第4圖判斷,本實施例中,光阻1之下地具有2種構 造。一個為BPSG膜12/氮化矽膜7/WSi膜40 /非晶質矽膜11/ 氧化矽膜(未圖示)/構成矽基板6的積層構造的部分。另 一為BPSG膜12/氮化矽膜7/構成矽基板6的積層構造部分。 本實施例中前述下地構成標記為「下地1」,後者的 下地構成標記為「下地2」》分別計算光阻-BPSG界面中在 :) 下第1級下第2之強度反射率之結果示於第5圖(a) (b)。 如該等結果所示,光阻-BPS界面的強度反射率隨著 . BPSG膜12的膜厚度變動’但設定氮化矽膜7的厚度為70nm 、 __f ..... ·...........·—· -.....--- —................ ,可控制該強度反射率在下Α Γ及下地2的範圍ϋ至τ〇
第17頁 451271 五、發明說明(15) %。因此,如果依照此設定,可預期良好的線寬度控制 性。 本實施例的微細圖案形成方法,根據上述的計算結 果’籍由電毁CVD方法成膜具有7〇ηηι之膜厚度之氮化妙膜7 於WSi膜10上。之後,在氮化矽膜7上積層bpsg膜12,在更 上面,塗佈0, 7 膜厚度的具有前述表3之光學定數之光 阻1 (第4圖(d ))。 以掃瞄式電子顯微鏡觀察如上所得光阻1之結果,圖 案侧面的粗縫相當小,且亦未發生從下地的亂反射之圖案 尺寸的波形失真,即’確認得到可防止白色模糊影像,其 結果良好的光阻圖案。 本實施例的微細圖案形成方法,由上述之處理得到之 光阻圓案Γ作為光罩蝕刻BPSG膜12,進行圖案轉寫。本實 施例中,上述的蝕刻是使用東京電子股份有限公司製造的 平行平板型標準蝕刻裝置’並以CHF3/CF4混和氣體作為蝕 刻氣體而進行。 接著’藉由暴露基板6於氧氣電襞氣趙中,除去光阻 囷案1’ (第4圖(e))。繼續以囷案轉寫之BPSG膜12作為 光罩蝕刻氮化矽膜7。上述之蝕刻是使用應用材料公司製 造的標準蝕刻裝置,使用CF4/02混和氣體為蝕刻氣體。經 由進行上述一連串的步驟,可在基板6上轉寫〇.i8"m直徑 的窗圖案(第4圖(f))。 使用Ho 1 on公司所製的關鍵性尺寸測量系統(ESPA -61 )調查晶圓内25點的窗圖案之直徑的變化之結果,該變化
第18頁 451 2 71 五、發明說明(16) 3 σ值為1. 2nm (參照表2 )。再者,測定之窗圖案的設計 值應該為180nra。如上結果看出本實施例之微細圖案形成 方法具有充分的尺寸控制性。 又,以Nikon公司製造的長尺寸測量裝置(光波61 ) 面内25點測量形成於下地基板之閘配線圖案的晶圓内的位 置經過第4圖之步驟b~f後變成的程度。該變化量之3 算出結果示於表4。再者,位置變化的原因主要認為是沈 積矽膜7時的熱處理中晶圓的變形,及形成之氮化矽膜7的 應力。位置變化的容許範圍是線寬的20%以内,即,線寬 為180nm時為36nm以内。如表4所示之結果,以本實施例的 微細圖案形成方法所形成的晶圓,其全面閘配線圖案的位 置變化是在容許範圍内。 表4 實驗 位置 3<y ( nm) 實施例2 32.4 比較例2 58.1 比較例2 以下,說明與實施例2之方法比較之比較例2。本比較 例的圖案形成方法,如第4圖所示以電漿CVD方法在已形成 閘配線圖案化之下地基板上面以低壓CVD方法沈積氮化矽
第19胃 4S1 2 71 五、發明說明(17) 膜7 ’接著沈積約為lOOOnm膜厚度的摻雜硼及碟之氧化石夕 膜(BPSG ) 12膜,繼續形成光阻1 (本實施例中,光阻i是 使用東厅、應化製造的環稀煙系正型光阻)β本比較例使用 的妙基板6為與實施例2相同的直徑300min的半導趙裝置製 造的晶圓。 為了計算光阻1和BPSG膜12的境界面,也就是光阻 -BPSG界面的反射率,藉由分光橢圓對稱儀測定以減壓CVD 方法沈積之氮化矽膜7的光學定數。其結果得到對於波長 1 93nm之表3的值。比較例2中,氮化矽膜7是使用東京雷子 公司製造的減壓CV裝置,設定沈積溫度為8〇〇 t而沈積。 再者’氮化矽膜7以外的膜與實施例2是相同的。 第6圖(a)及第6圖(b)是在具有上述之構造之下地 上塗佈具有前述表3之光學定數之光阻1而進行曝光時,光 阻-基板界面中強度反射率對氮化矽膜7之膜厚度阿依存 性’與實施例2相同的求出下第1及下地2分別的結果。如 該等結果所示’光阻-基板界面中強度反射率如果設定為 氮化矽膜7的厚度為80 nm,下地1及下地2與實施例2相同, 皆可控制在5〜10 %的範圍内《因此,比較例2的方法如果 使用該等設定亦可預期良好的線寬度控制性。 比較例2的微細圖案形成方法,基於上述的計算結 果’藉由減壓CVD方法在WSi膜10上沈積具有80nm之膜厚度 的氮化矽磨7。之後,在氮化矽膜7的上面積層BPSG膜12, 在更上面塗佈具有前述表3之光學定數之具〇.7#m之膜厚 度的光阻1 (參照第4圖(b))。
第20頁 4512 71 五、發明說明(18) 接著,以ArF準分子雷射步進機進行曝光(參照第4圖 (c) »之後,藉由四甲基胺氩氧水溶液等之有機鹼系水溶 液為顯像液進行顯像處理,形成〇·18#πι的密集線圖案 (第 4 圖(d))。 以掃瞄式電子顯微鏡觀察如上所得光阻1之結果,圖 案側面的粗链不平相當小,且亦未發生從下地的亂反射之 圈案尺寸的波形失真,即,確認可得到防止白色模糊影 像,其結果良好的光阻圈案。以後’藉由將所得之光阻圖 案1’作為光罩以實施例2的相同方法蝕刻BPSG膜12及氮化 矽膜7,可轉寫0. 18直徑的窗圈案於晶圓上。 使用Ho 1 on公司所製的關鍵性尺寸測量系統(ESPA-61 )調查晶圓内25點的窗圖案之直徑的變化之結果,該變化 3s值為12. lnm (參照表2)。再者,測定之窗圖案的設計 值應該為180nm。如上結果看出本實施例之微細圖案形成 方法具有與實施例2相同的尺寸控制性》 又,以Nikon公司製造的長尺寸測量裝置(光波6 I ) 面内25點測量形成於下地基板之閘配線圖案的晶圓内的位 置經過第4圖之步騍b〜f後變成的程度。該變化量之3s之算 出結果示於表4。如表4所示,比較例2的微細圖案形成方 法形成之晶園,其全面之閘配線圖案的位置變化比實施例 2大。該結果是以比較例2的方法沈積氮化矽膜7時,由於 長時間曝光,因此發明比實施例2大的晶圓變形。 然而’上述實施例中光阻1的曝光波長限定為193ηιη, 但本發明並未限定,如果曝光波長為195nm以下》亦可得
第21頁 4512 7 五'發明說明(19) 到同等的效果。 又,上述的實施例中’氮化矽膜7的折射率及衰減係 數分別限定為1· 959及〇· 448,但本發明並不限於上述的 值。具體的說,折射率在1.4至3.5的範圍内,衰減係數在 〇· 2至0. 8的範圍内,可得到相同的效果。 上述之實施例中,氮化矽臈7的膜厚度限定*7〇nm, 但並不限於該膜厚度,氮化矽臈7的膜厚度在1〇至2〇〇1^的 範圍内皆可。 又’上述之實施例中,氮化矽膜7的成膜時之溫度限 定為500 °C,但並不限於該溫度,在4〇〇至7〇〇。〇的範圍内 皆可。 更進一步’上述之實施例中’氮化矽膜7的成膜時氣 體條件限定為81114(20〇3(:(:111)/^2(100〇5<:€:111),但並不限於 該氣體條件,原材料中的氣量在1 〇 mo 1 %以下亦可。 實施例3 參照第7〜10圖說明本發明之實施例3。 第7圖是顯示本發明之實施例3的微細圈案形成方法的 步驟的圖。再者,第7圖是與第2圖或第4圖所示之構成要 素相同,因此省略說明同一符號所附的說明。 第7圖中,符號13及13’分別表示加工前後的多結晶矽 膜’又,符號14及14’分別表示加工前後的氧化矽膜《再 者’多結晶矽膜1 3、1 3’和基板6之間介有與該等絕緣的氧 化矽膜(圖中未示)。 本實施例的微細圖案形成方法,如第7圖所示,在被
4 51 2 7 j 五 '發明說明(20) 一 加工基板上以電漿CVD方法沈積氮化矽膜7。在氮化;s夕膜7 之上以電漿CVD方法沈積約為i〇nm膜厚度的氧化矽膜14。 更進一步’在氧化矽膜14上形成例如聚丙烯系的正型 光阻組成之光阻1。本實施例中’光阻丨是使用住友化學工 ,製造的ArF準分子雷射用光阻(PAR_1(H)。氮化$夕膜7 是與實施例1中相同沈積條件下沈積。又,氧化矽膜14的 沈積是使用日本ASM公司製造的電漿CVD裝置,並設定以下 的沈積條件: 成膜溫度:5 0 〇。(: 氣體條件:SiH4 ( 2 0 0 sccm)/N2(10G〇sccm) 為了計算光阻1與氧化矽膜14之境界面之反射率,藉 由分光橢圓對稱儀測定光阻1、即氧化矽膜〗4的光學定 數。其結果’得到對應波長193ηιη的光之表5的值。 表5 m 拆轉 衰·數 m 172 Q018 1.959 0.448 势蝴3·⑽例3麵 軸势(在50〇t 1562 Q000 細 氣似K在30fc 1321 0.000 卿J3娜 mm) OSfM 2100 實5祕J3n_J3传用
LL曰 修正i _I_. , L . . » 3 煩請委員明示’本案修正裣是否變更原實賀此容 案號891102狀 五、發明說明(2〇) ::基板上以電漿CVD方法沈積氮化矽膜7。在氮化矽 之上以電漿CVD方法沈積約為! 〇nm膜厚度的氡化矽膜公 丨 更進一步,在氧化矽膜14上形成例如聚丙烯 =組成之光阻1。本實施例中,光阻丨是使用住友化學^ 業製造的ArF準分子雷射用光阻(PAR„1〇1)。氮化 是與實施例1 t相同沈積條件下沈積。又,氧化矽臈14的 沈積是使用日本ASM公司製造的電漿CVD裝置,並設定以 的沈積條件:
成膜溫度:5 0 0 °C _氣體條件:SiKLUOOscciiO/I^OnnoOsccm) 為了計算光阻1與氧化矽膜14之境界面之反射率,藉 由分光橢圓對稱儀測定光阻1、即氧化矽膜1 4的光學定 數。其結果,得到對應波長1 9 3 n m的光之表5的值。 表5 m 砸李 mmm. m 1.72 0.018 獅 1.959 o.m 實触J3*tb蝴J3棚 氣似K在3XfC 1.562 αοω 獅J3個 細喊(在对c 1321 αοοο t醜3個 摇質妙 0.9¾ 2100 晒 3 娜
2118-3224-PFl.ptc 第23頁 2 7| 五'發明說明(21) 使用該結果計算在光阻_基板界面的 下所不之特性。 丁 as Μ 第8圖是進行曝光具有第7圖所示之構成的下地
的上面塗佈有前述表 J 戶之佑y光阻基板界面中強度反射率對氮化矽膜7的膜厚 ς之依存性的計算結果。如第8圖所示之結果可知氮化 ,7的臈厚度為24η„時’可控制來自下地的反射率㈣大 小’如果以此設定’可預期良好的線寬度控制性。 用從此J $ 了使線寬度控制性達到最大值,而採 下地界面之區域發生之反射率為極小值的條 :率;;Π為極小值並不是必須的,只要是抑制強度反 1ΐ Ϊ f 值的條件下可達到微影技術所要求之尺寸 精度程度即可。 施例的微細圓案形成方法根據上述的計算結果’ &方法在多結晶矽膜13上成膜具有2411111之膜厚度 瞪声择。接著,塗佈以電裝CVD方法沈積具有l〇nm之 、又氧化矽膜14 ^其後更進一步,塗佈具有0.3"m膜 ϋ之广前述表5之光學定數之住友化學工業製造之光阻 (PAR-101 )。 著以ΑΓ/準分子雷射步進機進行圖案曝光(第7圖 之後’以四甲基氫氧化氨水溶液等之有機鹼系 ^溶=為顯像液進行顯像處理’,成g. m之密集線圖 案(第7圖(c))。 以電子掃瞄顯微鏡(SEM )觀察上述所得之光阻圖案
第24頁 451 2γ1 五、發明說明(22)
之結果’圖案側面的粗糙小’且確認不會發生光阻—氧化 梦膜界面之光阻1’的波形失真D 本實施例的微細圖案形成方法中,經上述之處理得到 之光阻圖案Γ作為光罩將氮化矽膜7蝕刻,進行圖案轉寫 (第7圖(d))。在本實施例中,上述的蝕刻是使用Lain研 究室製造的蝕刻裝置(TCP-9400 ),採用CHF3為蝕刻氣體 而進行。 接著’將圖案轉寫之氮化矽膜7作為光罩蝕刻下地基 板。上述之蝕刻使用應用材料股份有限公司製造的標準 蚀刻系統裝置’使用#刻氣體HBr/Cl2 02混和氣體而進行。 藉由進行上述一連串步驟’可在基板6上轉寫0.15弘m 之多結晶珍膜13’組成之配線圖案(第7圖(f))。 使兩Ho 1 on公司所製的關鍵性尺寸測量系統(ESpA_6工 )調查晶圓内2 5點的配線圖案之線寬的變化,結果示於表 2。如表2所示’本實施例的微細圖案形成方法形成之晶圓 内的線寬變化是以3σ值表示為9.7 nm。再者,測定支配 線圖案的設計值假設為1 50nm。其結果發現本實施例的微 細圖索形成方法具有充分的尺寸控制性。 比較例3 以下’說明與實施例3之方法比較之比較例3。本比較 例的圖案形成方法’如第7圖所示以電漿cvd方法在被加工 基板上沈積氮化矽膜7,接著以電漿CVD方法沈積氧化矽 膜’繼續’形成例如聚丙烯系之正型光阻組成之光阻1。 本比較例中’在2〇〇 #〇!直徑的矽晶圓上沈積2ηιη之膜
第25頁 五、發明說明(23) 作ίίΪί: J5。’更進-步在其上’沈積非晶質矽膜而 學工i公ϊ 光ί1 分是子使二與/施:3相同的住友化 )。、 ΛΓί早分子雷射用之光阻(PAR-101 。更進一步,以與實施例丨相同的條件沈積氮化矽膜7 , 〇矽膜14疋使用日本ASM公司製造的電漿CVD裝置以3〇〇 c的沈積溫度沈積的。 為了计算在光阻1和氧化矽膜14之境界面的反射率, 測定氧化矽膜1 4的光學定數。其結果,得到對應波長 1 93nm的光之表5的值。 使用該結果’計算在光阻-基板界面的反射率,求出 以下所示之特性。 第9圖是進行曝光具有上述構成的下地(從基板6至氧 化矽膜14)的上面塗佈有前述表5的光學定數之光阻1時, 光阻-基板界面中強度反射率對氮化矽膜7的膜厚度之依存 性的計算結果。如第9圖所示之結果可知氮化矽膜7的膜厚 度為23nra時,可控制來自下地的反射率為2%大小。因此, 如果以此設定,可預期良好的線寬度控制性。 本實施例的微細圖案形成方法根據上述的計算結果, 以電漿CVD方法在非晶質膜上成膜具有2 3nm之膜厚度的氮 化矽膜7。接著,塗佈以電漿CVD方法在300 t之沈積溫度 下沈積具有l〇nm之膜厚度的氧化石夕膜14。其後更進一步’ 塗佈具有0.3从m膜厚度之具前述表5之光學定數之住友化 學工業製造之光阻(PAR-1 01 )(參照第7圖(c))。
第26頁 451271 五、發明說明(24) 接著以ArF準分子雷射步進機進行圖案曝光(第7圖 C b ))。之後’以四甲基氫氧化氨水溶液等之有機鹼系 水溶液為顯像液進行顯像處理,形成0,15 #ιπ之密集線圖 案(第7圖(c))。 以電子掃胳顯微鏡(SEM)觀察上述所得之光阻圖案 Γ之結果可知圖案侧面的粗韃不平小,來自下地的反射光 亦可控制。然而,以上述電子顯微鏡觀察,確認在光阻__ 氧化矽膜界面發生光阻Γ的波形失真(第10圖(b))。 比較例3的微細圖案形成方法中,經上述之處理得到 之光阻圖案Γ作為光罩將氮化矽膜7蝕刻,進行圖案轉寫 (第7圖(d))。在本實施例申,上述的钱刻是使用Lam研 究室製的蝕刻裝置(TCP-9400 ),採用CHF3為蝕刻氣體而 進行。 接著,將圖案轉寫之氮化矽膜7作為光罩蝕刻下地基 板(參照第7圖(e ))。上述之姓刻使用應用材料股份有限 公司製造的標準钱刻裝置’使用蝕刻氣體HBr/Cl2〇2混和氣 體而進行。繼續,藉由將基板6暴露於氧氣電漿氣體中, 除去光阻Γ。藉由進行上述一連串步驟,可在基板6上轉 寫0.15 之多結晶發膜13’組成之配線圖案(第7圖(^) )° 使用Holon公司所製的關鍵性尺寸測量系統(EspA_6l )調查晶圓内2 5點的配線圖案之線寬的變化的結果,晶圓 内的線寬變化以值表示為16.8 nm (參照表2)。由該 結果判斷比較例3的微細圖案形成方法,比實施例3的微細
第27頁~ 451 2 71 五、發明說明(25) 圖案形成方法容易產生大的線寬度變化。線寬度變化的惡 化是光阻圖案發生波形失真形狀,且蝕刻步驟中線寬度控 制性惡化的原因。 然而,上述實施例中光阻1的曝光波長限定為193nm, 仁本發明並未限定’如果曝光波長為以下。亦可得 到同等的效果。 又’上述的實施例中,氮化矽膜7的折射率及衰減係 數刀別限疋為1.959及0.448 ’但本發明並不限於上述的 值。具體的說’折射率在1.4至3.5的範圍内,衰減係數在 0 · 2至0, 8的範圍内,可得到相同的效果。 又’上述之實施例中,氮化矽膜7的膜厚度是以與實 施例1相同而沈積,但氮化矽膜的膜厚度即沈積溫度並無 限制。即,氮化矽膜7的膜厚度在1〇至2〇〇ηιη的範圍内皆 可’又其沈積溫度(CVD溫度)在4〇〇至700 °c的範圍内亦 可。 上述之實施例中,氮化矽膜7的成膜時的氣體條件限 定為$1114(20〇8(^111)/1^2(10〇〇8(^111)’但並不限於該氣體條 件’原材料中的氨量在1〇 mol %以下亦可。 更進一步,上述之實施例中,氮化矽膜7的沈積時的 溫度限定為500 t,但其CVD溫度並不無限制,在4〇〇至7〇〇 °C的範圍内皆可。 實施例4 接著’參照第1 1圖說明本發明之實施例4及比較例4。 第11圖係顯示本發明實施例4及比較例4的步驟說明之
第28頁 451 2 71 五、發明說明(26) --- 圖。再者’與第2圖或第4圖所厂 要素,因此省略說明同一的#Γ之構成要素對應為相同之 如第u圖所示之本實施;^所附的說明。 司製造的電漿CVD裝置(£agle、比較例,使用日本ASM公 矽膜7。沈積步驟之詳細成膜〇 )在矽基板6上沈積氮化 、蛛件示於表6。 %) —1 ·ι. 一 sa 1¾ ---------- 卿 勸0¾) mm脚⑸ 熱1〇分後) k 0782 2241 0.451 0.3S2 Θ 接著’使用昇溫脫離氣體分析裝置(TDS )調查氮化 矽膜的熱穩定性’進行在加熱時由膜中發生之氫氣體的定 量。測定是使用電子科學有限公司製造的龍1)-冗八1〇()()$。 其結杲示於第12圖。第12圖中,橫軸表示試料的溫 度’又縱轴表示脫離之氫分子的量。從比較例4沈積之氮 化矽膜可看出’比由實施例4沈積之氮化矽膜放出叫多量 的氫分子β 451271 五、發明說明(27) 又,測定分別由實施例4及比較例4得到氮 加熱分鐘之後氣切膜的光學定數之折氮 減=數),看出以比較例4形成之氮化石夕膜比實施例4得到 的氮化矽膜之加熱前後的光學定數的變化大(、參照表6 )。因此,如果以實施例4的條件,可得到比 熱穩定性的氮化珍膜。 权列4優良 雖然,上述的實施例是設定為如表6所示之氮化 的成膜條件’但其條件並不限於表6的條彳,原材料氣體 所含之胺的量在l〇mol%以下,且成膜溫度|4〇(rc〜7〇(rc 的範圍内亦可。其他的條件,熟悉此項技術者可在瞭 範圍内做各種的變更及改良。 、 本發明是由以上說明所構成,可達到以下所示的 果。 藉由採用在下地基板上,直接或經由其他層沈積氮化 矽系膜;在前述膜上’直接或經由其他層而形成光阻;將 前述光阻進行曝光,而轉寫光罩圖案;以及以轉寫之光阻 圖案作為光罩而蝕刻氮化矽系膜的微細圖案形成方法,可 形成在微影技術中不需採用反射防止膜之高精度的微細圖 案。 又,沈積前述氮化矽膜之步驟,是使用電漿CVD裝 置,且配置前述基板部分的溫度是設定在400它以上7001 以下,且原材料氣體中所含胺設#在10m〇l %以下而實 行,因此可沈積熱穩定性高的氮化矽膜,且可控制在氮化 石夕膜之沈積時發生之晶圓的熱變形。
451271 五、發明說明(28) ---- 又,沈積前述IL化石夕系之胞 t ^ . /nnVl r乐之犋之後使用電漿CVD裝置在 4 0 0 C以上7 0 0 C以下的溫度体丛 ^ ^ 技,二& "也丄, 没條件下沈積氧化矽膜’在其直 接上面,進彳丁形成光阻之光阻 安& , , , 且的曝光’因此在轉寫光罩圖 案的步驟時,可抑制光阻-基祐@ 亩 ^ 上丄,^ 板界面中光阻圖案的波形失 具’並可形成尚精度之微細圖案。 符號說明 1〜光阻; L鎢膜; 3~TiN 膜; 4~聚矽膜; 5〜S i 〇2膜; 7〜氮化矽膜; 9〜光罩; 11〜加工非晶質矽膜 12’〜加工BPSG膜; 1 ’〜光阻圖案; 2’〜加工鎢膜; 3’〜加工ή N膜; 4’〜加工聚矽膜; 6〜基板; 8〜曝光光; 1 0~加工WS i膜; 12〜BPSG膜; 13〜多結晶矽膜; 13’~加工多結晶發膜;14~氧化梦膜; 膜 14’〜加工氧化石夕膜;40~反射防止膜(ARC 41〜光阻。
Claims (1)
- ^、申請專利範圍 1 一種微細圖案形成方法,在下地基板上塗佈矣阻並 以單一波長曝光而形成微細光阻圖案’藉由將該光阻圖案 作為光罩而银刻下地基板形咸微細圖案,包括: 在下地基板上’直接或經由其他層沈積氮化矽系膜; 在前述膜上’直接或經由其他層而形成光阻; 將前述光阻進行曝光,而轉寫光罩圖案;以及 以轉寫之光阻圖案作為罩幕而蝕刻氮化矽系膜。 2 ·如申請專利範圍第1項所述之微細圖案形成方法, 其中前述氮化石夕系膜對195 nm以下的曝光波長顯示有1.4 以上3 _ 5以下的折射率,以及〇 2以上0. 8以下的衰減係 數’並具有10 nm以上200 nm以下的膜厚度。 3.如申請專利範圍第1或2項所述之微細圖案形成方 法,其中前述沈積氮化石夕系膜步驟是使用電裝CVD裝置, 且配置前述下地基板部分的溫度設定在4〇〇它以上7〇〇以 下,且原材料氣體中所含氨設定在10mol%以下而進行。 4·如申請專利範圍第1或2項所述之微細圖案形成方 法,其中形成前述光阻步驟之前,具有沈積氧化梦膜之步 5.如申靖專利範圍第4項所述之微細.圖案形成方法, 其中沈積前述氧化矽系膜步驟是使用電漿CVD裝置,且配 置前述下地基板部分的溫度設定在4〇(TC以上7〇(rc以下而 進行。 6.如申請專利範圍第3項所述之微細圖案形成第32頁 451 2 7t 六、申請專利範圍 7 ·如申請專利範園第6項所述之微細圖案形成方法, 其中沈積前述氧化矽系膜步驟是使用電漿CVD裝置,且配 置前述下地基板部分的溫度設定在400 °C以上70 0 °C以下而 進行。第33頁 公 t ^號 89210436 年 月 曰 申請專利範圍 ---------------------- 1 _ 一種適用於空間侷限的扳手接頭構造,其至少包 括有本體及驅動體所組成,其中該本體的適當位置上樞設 有驅動體,且該驅動體的一端係為多邊形狀的驅動部,並 於該驅動部的末端形成一多邊形圓球狀的接頭部,而上述 之接頭部的最大外徑上設有凹槽,且該凹槽係環繞於接頭 部而設的,以供阻塞體套置於驅動體上,而使驅動體與套 筒間的接觸性更緊密確實,進而使扳手之驅動體能以較佳 的角度直接插置於套筒上,以增加扳手的適用性及施工之 便利性。第10頁
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP15551199 | 1999-06-02 | ||
JP23090399A JP3320685B2 (ja) | 1999-06-02 | 1999-08-17 | 微細パターン形成方法 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW451271B true TW451271B (en) | 2001-08-21 |
Family
ID=26483494
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW089110238A TW451271B (en) | 1999-06-02 | 2000-05-26 | Method for forming fine pattern |
Country Status (5)
Country | Link |
---|---|
US (1) | US6586163B1 (zh) |
EP (1) | EP1058155A3 (zh) |
JP (1) | JP3320685B2 (zh) |
KR (1) | KR20010007158A (zh) |
TW (1) | TW451271B (zh) |
Families Citing this family (151)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3354901B2 (ja) * | 1999-06-21 | 2002-12-09 | 株式会社半導体先端テクノロジーズ | 微細パターンの形成方法、半導体装置および半導体装置の製造方法 |
US7229929B2 (en) * | 2002-12-06 | 2007-06-12 | Cypress Semiconductor Corporation | Multi-layer gate stack |
US7972663B2 (en) * | 2002-12-20 | 2011-07-05 | Applied Materials, Inc. | Method and apparatus for forming a high quality low temperature silicon nitride layer |
US7365029B2 (en) * | 2002-12-20 | 2008-04-29 | Applied Materials, Inc. | Method for silicon nitride chemical vapor deposition |
US7172792B2 (en) * | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
JP4181580B2 (ja) | 2003-11-20 | 2008-11-19 | 松下電器産業株式会社 | エレクトレット及びエレクトレットコンデンサー |
JP4264103B2 (ja) * | 2004-03-03 | 2009-05-13 | パナソニック株式会社 | エレクトレットコンデンサーマイクロホン |
EP1722595A4 (en) * | 2004-03-05 | 2010-07-28 | Panasonic Corp | ELECTRET condenser |
US7446063B1 (en) * | 2005-02-24 | 2008-11-04 | Cypress Semiconductor Corp. | Silicon nitride films |
JP2006287236A (ja) * | 2006-04-07 | 2006-10-19 | Hoya Corp | マスクブランク、及びマスク |
JP5545808B2 (ja) * | 2009-09-16 | 2014-07-09 | 旭化成イーマテリアルズ株式会社 | 積層構造体 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
JP5317137B2 (ja) * | 2011-02-04 | 2013-10-16 | Hoya株式会社 | マスクブランク、及びマスク |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
KR20170016107A (ko) | 2015-08-03 | 2017-02-13 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH02278879A (ja) | 1989-04-20 | 1990-11-15 | Toshiba Corp | 薄膜トランジスタの製造方法 |
JPH03280540A (ja) | 1990-03-29 | 1991-12-11 | Nec Corp | 絶縁膜の形成方法 |
JP3342164B2 (ja) | 1993-04-16 | 2002-11-05 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
JP3339156B2 (ja) | 1993-12-28 | 2002-10-28 | ソニー株式会社 | 微細パターンの製造方法と半導体装置の製造方法 |
JP3348742B2 (ja) | 1993-12-28 | 2002-11-20 | ソニー株式会社 | 微細パターンの製造方法および半導体装置の製造方法 |
JPH07201859A (ja) | 1993-12-29 | 1995-08-04 | Sony Corp | 配線形成方法および半導体装置 |
JPH07273010A (ja) | 1994-03-31 | 1995-10-20 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
JP3326663B2 (ja) | 1994-04-05 | 2002-09-24 | ソニー株式会社 | 半導体装置の製造方法 |
JPH0822945A (ja) * | 1994-07-07 | 1996-01-23 | Fujitsu Ltd | 半導体装置の製造方法 |
JP3422580B2 (ja) * | 1994-12-16 | 2003-06-30 | 三菱電機株式会社 | 半導体装置の製造方法 |
JP3280540B2 (ja) | 1995-05-12 | 2002-05-13 | 株式会社小糸製作所 | 放電灯点灯回路 |
JPH09180981A (ja) | 1995-12-21 | 1997-07-11 | Mitsubishi Electric Corp | 反射防止膜及びその形成方法、並びに半導体装置の製造方法 |
US6562544B1 (en) * | 1996-11-04 | 2003-05-13 | Applied Materials, Inc. | Method and apparatus for improving accuracy in photolithographic processing of substrates |
JPH10189410A (ja) | 1996-12-20 | 1998-07-21 | Sony Corp | 半導体装置の製造方法 |
JPH1172924A (ja) | 1997-08-29 | 1999-03-16 | Sony Corp | パターン形成方法 |
-
1999
- 1999-08-17 JP JP23090399A patent/JP3320685B2/ja not_active Expired - Fee Related
-
2000
- 2000-05-26 TW TW089110238A patent/TW451271B/zh not_active IP Right Cessation
- 2000-05-31 EP EP00111662A patent/EP1058155A3/en not_active Withdrawn
- 2000-06-01 KR KR1020000029858A patent/KR20010007158A/ko active IP Right Grant
- 2000-06-02 US US09/587,359 patent/US6586163B1/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
JP2001051424A (ja) | 2001-02-23 |
US6586163B1 (en) | 2003-07-01 |
JP3320685B2 (ja) | 2002-09-03 |
EP1058155A2 (en) | 2000-12-06 |
EP1058155A3 (en) | 2000-12-20 |
KR20010007158A (ko) | 2001-01-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW451271B (en) | Method for forming fine pattern | |
US6673520B2 (en) | Method of making an integrated circuit using a reflective mask | |
US5986344A (en) | Anti-reflective coating layer for semiconductor device | |
JP3506240B2 (ja) | リソグラフィ構造 | |
US5858621A (en) | Bi-layer silylation process using anti-reflective-coatings (ARC) for making distortion-free submicrometer photoresist patterns | |
JP4635610B2 (ja) | 反射型フォトマスクブランク、反射型フォトマスク、及び反射型フォトマスクの製造方法 | |
US20010041444A1 (en) | Tin contact barc for tungsten polished contacts | |
US6614085B2 (en) | Antireflective coating layer | |
JPH08255752A (ja) | 反射防止被膜を有する半導体素子およびその製造方法 | |
TW580733B (en) | Dry etching process and a fabrication process of a semiconductor device using such a dry etching process | |
KR100744005B1 (ko) | 반도체 소자의 금속 패턴 형성방법 | |
JP2007250613A (ja) | 反射型マスクブランク、反射型マスク及び極端紫外線の露光方法 | |
JP5178996B2 (ja) | 反射型フォトマスクブランク、反射型フォトマスク、ならびにこれを用いたパターン転写方法 | |
US8709683B2 (en) | Photomask blank, photomask blank manufacturing method, and photomask manufacturing method | |
JPS6074529A (ja) | 写真食刻による集積回路のパタ−ン形成法 | |
US6864556B1 (en) | CVD organic polymer film for advanced gate patterning | |
US6177235B1 (en) | Antireflection treatment of reflective surfaces | |
JP3351892B2 (ja) | ハーフトーン位相シフトフォトマスク及びハーフトーン位相シフトフォトマスク用ブランクス | |
KR100876898B1 (ko) | 반도체 소자의 하드마스크막 형성 방법 | |
TW200421440A (en) | Method for increasing adhesion of rework photoresist on oxynitride film | |
TW513747B (en) | Method to remove inorganic bottom anti-reflection coating layer | |
KR20060125210A (ko) | 반도체 소자의 미세패턴 형성방법 | |
TW518660B (en) | Improved anti-reflection coating layer structure | |
JPH06132286A (ja) | 半導体装置及びその製造方法 | |
Kumar et al. | Dielectric bottom anti-reflective coatings for copper dual damascene interconnects |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |