TW202125733A - 雙裝載鎖定腔室 - Google Patents

雙裝載鎖定腔室 Download PDF

Info

Publication number
TW202125733A
TW202125733A TW109140043A TW109140043A TW202125733A TW 202125733 A TW202125733 A TW 202125733A TW 109140043 A TW109140043 A TW 109140043A TW 109140043 A TW109140043 A TW 109140043A TW 202125733 A TW202125733 A TW 202125733A
Authority
TW
Taiwan
Prior art keywords
load lock
lock chamber
chamber
supporting surfaces
predetermined distance
Prior art date
Application number
TW109140043A
Other languages
English (en)
Other versions
TWI753655B (zh
Inventor
麥可R 萊斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202125733A publication Critical patent/TW202125733A/zh
Application granted granted Critical
Publication of TWI753655B publication Critical patent/TWI753655B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/06Gripping heads and other end effectors with vacuum or magnetic holding means
    • B25J15/0616Gripping heads and other end effectors with vacuum or magnetic holding means with vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector
    • Y10S901/31Gripping jaw
    • Y10S901/36Actuating means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

此處揭露在多重腔室處理系統中使用的雙裝載鎖定腔室。在某些實施例中,雙裝載鎖定腔室包括第一裝載鎖定腔室,具有第一內部空間及第一基板支撐件,其中第一基板支撐件包括藉由第一預定距離垂直間隔開來的第一複數個支撐表面;至少一個熱傳送裝置,佈置於第一基板支撐件之中,以加熱或冷卻第一複數個基板;及第二裝載鎖定腔室,佈置於相鄰第一裝載鎖定腔室,且具有第二內部空間及第二基板支撐件,其中第二基板支撐件包括藉由第二預定距離垂直間隔開來的第二複數個支撐表面,第二預定距離小於第一預定距離。

Description

雙裝載鎖定腔室
本揭露案之實施例大致關於基板處理系統,且更具體而言關於用於多重腔室處理系統之方法及設備。
例如具有多重處理腔室耦合至共享的傳送腔室之叢集工具的處理系統用以減少系統及製造成本,且提高處理產量。一或更多裝載鎖定腔室促進基板進出工廠介面及共享的傳送腔室之通道。
然而,傳統的裝載鎖定腔室在叢集工具多重腔室系統(例如,雙或四個腔室)中於各個站台處可降低產量。在此系統中,裝載鎖定可能作用造成瓶頸,因為在共享的傳送腔室中的傳送機械手臂可能需要在裝載鎖定腔室及各個多重腔室系統之間進行無數次旅程,以促進將基板傳送進及出多重腔室系統。
因此,發明人提供與多重腔室處理系統一起使用的改良的裝載鎖定腔室。
此處揭露在多重腔室處理系統中使用的雙裝載鎖定腔室。在某些實施例中,雙裝載鎖定腔室包括:第一裝載鎖定腔室,具有第一內部空間及佈置於第一內部空間之中的第一基板支撐件,其中第一基板支撐件包括垂直間隔開來的第一複數個支撐表面,以支撐相對應的第一複數個基板,且其中第一複數個支撐表面之相鄰一者藉由第一預定距離間隔開來;至少一個熱傳送裝置,佈置於第一基板支撐件之中,以加熱或冷卻第一複數個基板;及第二裝載鎖定腔室,佈置於相鄰第一裝載鎖定腔室,且具有第二內部空間及佈置於第二內部空間之中的第二基板支撐件,其中第二基板支撐件包括垂直間隔開來的第二複數個支撐表面,以支撐相對應的第二複數個基板,且其中第二複數個支撐表面之相鄰一者藉由第二預定距離間隔開來,第二預定距離小於第一預定距離。
在某些實施例中,一種處理系統,包括:工廠介面;基板傳送腔室;一或更多多重腔室處理系統,耦合至基板傳送腔室;雙裝載鎖定腔室,將工廠介面耦合至基板傳送腔室;及真空機械手臂,佈置於基板傳送腔室中,且配置成在雙裝載鎖定腔室及一或更多多重腔室處理系統之間傳送基板。雙裝載鎖定腔室包括第一裝載鎖定腔室,具有第一內部空間及佈置於第一內部空間之中的第一基板支撐件,其中第一基板支撐件包括垂直間隔開來的第一複數個支撐表面,以支撐相對應的第一複數個基板,且其中第一複數個支撐表面之相鄰一者藉由第一預定距離間隔開來;至少一個熱傳送裝置,佈置於第一基板支撐件之中,以加熱或冷卻第一複數個基板;及第二裝載鎖定腔室,佈置於相鄰第一裝載鎖定腔室,且具有第二內部空間及佈置於第二內部空間之中的第二基板支撐件,其中第二基板支撐件包括垂直間隔開來的第二複數個支撐表面,以支撐相對應的第二複數個基板,且其中第二複數個支撐表面之相鄰一者藉由第二預定距離間隔開來,第二預定距離小於第一預定距離。
在某些實施例中,一種雙裝載鎖定腔室,包括:第一裝載鎖定腔室,具有第一內部空間及佈置於第一內部空間之中的第一基板支撐件,其中第一基板支撐件包括垂直間隔開來的第一複數個支撐表面,以支撐相對應的第一複數個基板,且其中第一複數個支撐表面之相鄰一者藉由第一預定距離間隔開來;第一熱傳送裝置,佈置於相鄰第一複數個支撐表面的最下面一者,以在第一複數個支撐表面之最下面一者頂部加熱或冷卻第一複數個基板之一者;第二熱傳送裝置,佈置於相鄰第一複數個支撐表面的最上面一者,以在第一複數個支撐表面之最上面一者頂部加熱或冷卻第一複數個基板之另一者;及第二裝載鎖定腔室,佈置於相鄰第一裝載鎖定腔室,且具有第二內部空間及佈置於第二內部空間之中的第二基板支撐件,其中第二基板支撐件包括垂直間隔開來的第二複數個支撐表面,以支撐相對應的第二複數個基板,且其中第二複數個支撐表面之相鄰一者藉由第二預定距離間隔開來,第二預定距離小於第一預定距離。
以下說明本揭露案的其他及進一步實施例。
此處揭露用於多重腔室處理系統的雙裝載鎖定腔室。所發明的雙裝載鎖定腔室配置成藉由多重基板傳送機械手臂,在一個旅程中允許二或更多基板從雙裝載鎖定腔室卸除且允許二或更多基板裝載至雙裝載鎖定腔室中。結果,多重腔室處理系統的產量有利地提高。
此處所揭露的多重腔室處理系統可為叢集工具的部分,具有耦合至此的數個多重腔室處理系統,例如第1圖中所圖示的處理系統100。參照第1圖,在某些實施例中,處理系統100可大致包含真空緊密處理平台104、工廠介面102、一或更多多重腔室處理系統101、103、105、107、109、111及系統控制器144。適合根據此處所提供的技術修改的處理系統的非限制範例包括CENTURA®整合處理系統、處理系統的PRODUCER® 生產線之一者(例如,PRODUCER® GT™)、ENDURA™處理系統、CENTRIS® 處理系統、或從位於美國加州聖克拉拉市的應用材料公司商業上可取得的其他適合的處理系統。或者,多重腔室處理系統可包括兩個處理腔室,例如彼此相鄰佈置的單一基板處理腔室,舉例而言,並肩地佈置。其他處理系統(包括來自其他製造商的處理系統)亦可適以從本揭露案獲益。
處理平台104包括一或更多多重腔室處理系統101、103、105、107、109、111(第1圖中顯示六個)。在某些實施例中,各個多重腔室處理系統包括四個處理腔室(例如,111-114、131-134、151-154、171-174、191-194級195-198)。然而,各個多重腔室處理系統可包括更少或更多個處理腔室(例如,兩個、三個、五個等等)。平台進一步包括在真空下耦合至基板傳送腔室136的至少一個雙裝載鎖定腔室122(第1圖中顯示兩個),此雙裝載鎖定腔室122關於第2圖在以下更詳細顯示且討論。工廠介面102透過雙裝載鎖定腔室122耦合至傳送腔室136。
各個多重腔室處理系統101、103、105、107、109、111包括可彼此隔絕的獨立處理空間。各個多重腔室處理系統101、103、105、107、109、111可配置成在多重腔室處理系統的各個處理腔室之間共享資源(例如,處理氣體供應器、真空幫浦或類似者)。
工廠介面102可包含至少一個對接站台108及至少一個工廠介面機械手臂110(第1圖中顯示兩個),以促進基板的傳送。對接站台108可配置成容納一或更多(第1圖中顯示兩個)前開式晶圓傳送盒(front opening unified pods ;FOUP)106A-B。工廠介面機械手臂110可包含佈置於工廠介面機械手臂110的一端上的葉片116,配置成從工廠介面102傳送基板至處理平台104,用於處理通過雙裝載鎖定腔室122。可選地,一或更多計量站台118可連接至工廠介面102的終端127,以促進來自FOUP 106A-B的基板的量測。
雙裝載鎖定腔室122之各者可包括耦合至工廠介面102的第一側123及耦合至傳送腔室136的第二側125。雙裝載鎖定腔室122可耦合至壓力控制器統(未顯示),而抽空且排空雙裝載鎖定腔室122以促進在傳送腔室136的真空環境及工廠介面102的實質上周遭(例如,大氣)環境之間傳遞基板。
傳送腔室136具有佈置於其中的真空機械手臂130。真空機械手臂130可具有耦合至可移動手臂133的一或更多傳送葉片135。舉例而言,在多重腔室處理系統如所顯示地耦合至傳送腔室136的某些實施例中,真空機械手臂130可具有三個或更多平行的傳送葉片135,經配置使得真空機械手臂130可在雙裝載鎖定腔室122之一者及多重腔室處理系統的處理腔室(例如,多重腔室處理系統101的處理腔室112、114)之間同時傳送兩個基板。
各個多重腔室處理系統101、103、105、107、109、111的處理腔室(例如,111-114、131-134、151-154、171-174、191-194及195-198)可為在基板處理中利用的任何類型的處理腔室,例如蝕刻腔室、沉積腔室或類似者。在某些實施例中,例如多重腔室處理系統101的各個多重腔室處理系統之諸如處理腔室112、114的處理腔室配置成用於相同的功能,例如蝕刻。舉例而言,在多重腔室處理系統的各個處理腔室為蝕刻腔室的實施例中,各個處理腔室可包括電漿源,例如電感或電容耦合電漿源、遠端電漿源或類似者。再者,多重腔室處理系統的各個處理腔室可使用含鹵素氣體,例如藉由共享的氣體面板提供,以蝕刻佈置於其中的基板。含鹵素氣體之範例包括溴化氫(HBr)、氯氣(Cl2 )、四氟化碳(CF4 )及類似者。舉例而言,在蝕刻基板之後,含鹵素殘留物可保留在基板表面上。含鹵素殘留物可藉由在裝載鎖定腔室122中的熱處置處理移除,或藉由其他適合的手段移除。
以下說明將參考第2A圖至第2C圖而作成。第2A圖及第2B圖根據本揭露案的某些實施例,描繪雙裝載鎖定腔室200的剖面視圖。雙裝載鎖定腔室200可利用作為關於以上第1圖所討論的雙裝載鎖定腔室122。雙裝載鎖定腔室200包括第一裝載鎖定腔室202及第二裝載鎖定腔室203。第一及第二裝載鎖定腔室202、203佈置為彼此相鄰。舉例而言,第一及第二裝載鎖定腔室202、203可如第2A圖中所描繪而垂直地堆疊,或第一及第二裝載鎖定腔室202、203可並肩地佈置。第一裝載鎖定腔室202包括第一內部空間204。在某些實施例中,氣體供應器240可耦合至第一裝載鎖定腔室202,以供應氣體至第一內部空間204。在某些實施例中,氣體為鈍氣,例如稀有氣體。
第一裝載鎖定腔室202包括佈置於第一內部空間204之中的第一基板支撐件206。第一基板支撐件206配置成在相對應的第一複數個支撐表面208a、208b上支撐第一複數個基板207a、b(例如,第2圖中顯示兩個)。第一複數個支撐表面208a、208b之相鄰一者藉由第一預定距離210垂直地間隔開來。
第一裝載鎖定腔室202進一步包括佈置於第一基板支撐件206之中的至少一個熱傳送裝置(例如,加熱器或冷卻器),以加熱或冷卻第一複數個基板207a、b。在某些實施例中且描繪於第2A圖中,至少一個熱傳送裝置為加熱器212,例如電阻加熱器或類似者。在此等實施例中,加熱器電源供應器250可耦合至加熱器212以控制其操作。在某些實施例中且描繪於第2B圖中,至少一個熱傳送裝置為冷卻器254,例如冷卻板,舉例而言包括通過第一基板支撐件206而形成的一或更多通道,且例如來自冷卻劑源252的冷卻劑的熱傳送流體可流動通過此。
在某些實施例中,至少一個熱傳送裝置佈置於相鄰第一複數個支撐表面的最下面一者(例如,支撐表面208b)。在某些實施例中,第一裝載腔室包括佈置於相鄰第一複數個支撐表面的最上面一者(例如,支撐表面208a)的第二熱傳送裝置。第二熱傳送裝置類似於第一熱傳送裝置。第二熱傳送裝置可為與第一熱傳送裝置相同的類型。舉例而言,如第2A圖中所顯示,第一熱傳送裝置為加熱器212(例如,第一加熱器),且第二熱傳送裝置為加熱器213(例如,第二加熱器)。如第2B圖中所顯示,第一熱傳送裝置為冷卻器254(例如,第一冷卻器),且第二熱傳送裝置為冷卻器255(例如,第二冷卻器)。
在某些實施例中,第一基板支撐件206以導熱主體(例如,鋁)形成,具有至少一個熱傳送裝置(例如,加熱器212或冷卻器254)安裝於導熱主體之中。在某些實施例中,第一基板支撐件206可包括相對應至複數個第一複數個支撐表面(例如,支撐表面208a、208b)的複數個熱傳送裝置,以加熱或冷卻佈置於第一複數個支撐表面頂部的基板。在某些實施例中,複數個熱傳送裝置可為加熱器,例如電阻加熱元件(例如,加熱器212、213)。在某些實施例中,複數個熱傳送裝置可為冷卻器(例如,冷卻器254、255)。
在某些實施例中,當使用時,第一裝載鎖定腔室202可用以在處理腔室中處理基板之前,從第一複數個基板207a、b移除濕氣。在某些實施例中,當使用時,第一裝載鎖定腔室202可用以在處理腔室中處理基板之後,冷卻第一複數個基板207a、b。
第2C圖根據本揭露案的某些實施例,描繪基板支撐件的頂部視圖。在某些實施例中,第一裝載鎖定腔室202進一步包括抬升組件209,配置成抬升及降低第一複數個基板207a、b,而如箭頭230所指示地離開及至第一複數個支撐表面208a、b。在某些實施例中,抬升組件209包括耦合至二或更多抬升元件234的馬達232。在某些實施例中,如第2C圖中所描繪,二或更多抬升元件234之各者包括兩個相對的抬升元件234a、b,抬升元件234a、b之各者具有一對分支236延伸至在第一複數個支撐表面208a、b之相對應一者中所形成的相對應的一對溝槽238中。抬升元件234舉升高於第一複數個支撐表面208a、b以容納第一複數個基板207a、b。接續著,降低舉升元件234使得第一複數個基板207a、b放置於第一複數個支撐表面208a、b之相對應一者上。在已加熱第一複數個基板207a、b以從基板移除濕氣之後,抬升元件234再次舉升,使得機械手臂(例如,真空機械手臂130)可從第一裝載鎖定腔室202移除第一複數個基板207a、b,且將基板傳送至處理腔室。
返回第2A-2B圖,在某些實施例中,第二裝載鎖定腔室203佈置於第一裝載鎖定腔室202頂部,且包括第二內部空間214及佈置於第二內部空間214之中的第二基板支撐件216。第二基板支撐件216配置成在相對應的第二複數個支撐表面218a、b上支撐第二複數個基板217a、b(例如,在第2A-2B圖中顯示兩個),第二複數個支撐表面218a、b藉由第二預定距離220垂直地間隔開來。在某些實施例中,第二基板支撐件216包括類似於以上所討論的抬升元件234a、b的支撐元件256。在某些範例使用中,第二裝載鎖定腔室203可用以在完成基板的處理之後容納第二複數個基板217a、b,以待裝載至耦合至對接站台108的FOUP上。
在某些實施例中,第一基板支撐件206包括如上所討論配置成加熱第一複數個基板207a、b的額外的元件,然而第二基板支撐件216僅配置成保持第二複數個基板217a、b。在此等實施例中,第二預定距離220小於第一預定距離210,因為第二基板支撐件216比第一基板支撐件206較為不複雜。在某些實施例中,第二預定距離220為第一預定距離210的一半。在某些實施例中,第二預定距離220為第一預定距離210的一半。在某些實施例中,第一預定距離為約14mm,且第二預定距離220為約28mm。在某些實施例中,第一及第二預定距離210、220可相對應至基板傳送機械手臂(例如,真空機械手臂130)的相鄰葉片之間的垂直距離。
參照第3圖,用於在雙裝載鎖定122及處理腔室之間傳送基板的基板傳送機械手臂(例如,真空機械手臂130)包括透過前臂304耦合至馬達組件303的複數個葉片(例如,第3圖中顯示的第一葉片302a、第二葉片302b及第三葉片302c)。馬達組件303配置成圍繞第一軸305旋轉前臂304,且圍繞第二軸307透過佈置於前臂之中的滑輪(未顯示)獨立地旋轉複數個葉片(例如,第一葉片302a、第二葉片302b及第三葉片302c)。第一葉片302a藉由第一垂直距離306a與第二葉片302b間隔開來。第二葉片302b藉由第二垂直距離306b與第三葉片302C間隔開來。在某些實施例中,第一及第二垂直距離306a、b為相等的。在某些實施例中,第一及第二垂直距離306a、b為不同的。
在某些實施例中,第一預定距離210等於介於第一葉片302a及第三葉片302c之間的垂直距離。此間隔允許兩個葉片(第一及第三葉片302a、c)插入第一裝載鎖定,以同時移除例如已加熱而移除濕氣的兩個基板,且傳送基板至多重腔室處理系統(例如,101、103、105、107、109、111)。因為葉片之一者(例如,第二葉片302b)為空的,所以空的葉片可在加熱的基板傳送至處理系統之前,從多重腔室處理系統移除經處理的基板。舉例而言,在至多重腔室處理系統的一次旅程期間,經處理的基板從多重腔室處理系統移除,加熱的基板之一者傳送至多重腔室處理系統,另一個經處理的基板從多重腔室處理系統移除,且又一個加熱的基板傳送至多重腔室處理系統。因為第二葉片302b保持經處理的基板,且第一或第三葉片302a、c之一者保持另一個經處理的基板,所以藉由基板傳送機械手臂保持的介於兩個經處理的基板之間的垂直距離為306a或306b任一者。如此,在某些實施例中,第二預定距離220等於介於兩個相鄰葉片(306a或306b)之間的垂直距離。根據葉片數量的結果,介於相鄰葉片之間的垂直間隔、以及在雙裝載鎖定中基板支撐件的支撐表面的相對應垂直間隔、在雙裝載鎖定122及多重腔室處理系統101、103、105、107、109、111之一者之間所需的旅程次數均減少,因此增加處理系統100的產量。
此外,所發明的設備可以相反於以上所述之方向下使用。舉例而言,取代將預熱基板送入處理系統中,設備亦可有利地用以返回複數個基板至裝載鎖定,而具有例如冷卻板用於冷卻離開處理系統的基板。在此等實施例中,裝載鎖定可如以上關於第2A圖所述,且可包括冷卻板而取代加熱板。在操作中,送入處理系統中的兩個進站基板,可以三個葉片的機械手臂使用彼此靠近的兩個葉片(例如,最內側葉片及第一最外側葉片)拾起。接著可使用空閒的最外側葉片(例如,第二最外側葉片)從處理腔室拾起離站基板。在第一最外側葉片上的進站基板可放置於處理腔室中。第二次完成的離站基板可使用目前開放的第一最外側葉片拾起。在最內側葉片上的剩餘的進站基板可放置於處理腔室中。在兩個最外側葉片上剩餘的兩個離站基板現可同時放置於具有以兩個最外側葉片之間的垂直距離間隔的兩個冷卻板的裝載鎖定中。
儘管以上已關於在各個裝載鎖定腔室(202、204)中的兩個支撐表面及三個葉片(302a、b、c)作成說明,仍可存在任何數量的支撐表面及葉片,但葉片的數量應比支撐表面的數量大1個。額外的葉片允許經處理的基板在傳送第一裝載鎖定腔室202中加熱的基板之前,從多重腔室處理系統移除,因此允許機械手臂在裝載鎖定及多重腔室處理系統之間作成更少的旅程。
返回第1圖,系統控制器144耦合至處理系統100。系統控制器144使用對處理系統100的處理腔室111-114、131-134、151-154、171-174、191-194、195-198的直接控制,或藉由控制與處理腔室111-114、131-134、151-154、171-174、191-194、195-198及/或各個多重腔室處理系統101、103、105、107、109、111及處理系統100相關聯的個別控制器(未顯示),而控制處理系統100的操作。在操作中,系統控制器144能夠進行來自分別的腔室及系統控制器144的資料收集及回饋,以優化處理系統100的效能。
系統控制器144大致包括中央處理單元(CPU)138、記憶體140及支援電路142。CPU 138可為可在工業設定中使用的任何形式的通用電腦處理器之一者。支援電路142通常耦合至CPU 138,且可包含快取、時鐘電路、輸入/輸出子系統、電源供應器及類似者。例如以下所述用於控制一或更多腔室處理之方法300的軟體常式,例如降低壓力、排空或清洗多重腔室處理系統的各個腔室,當藉由CPU 138執行時,將CPU 138轉變成特定功能電腦(控制器)144。軟體常式亦可藉由與處理系統100遠端定位的第二控制器(未顯示)儲存及/或執行。
因此,已提供具有共享的真空系統用於多重腔室處理系統之方法及設備。所發明的多重腔室處理系統有利地允許第一腔室進行服務,同時允許其餘腔室正常操作。額外地,所發明的多重腔室處理系統在已服務第一處理腔室之後,有利地避免其餘處理腔室的污染。
儘管以上導向本揭露案的實施例,可衍生本揭露案的其他及進一步實施例而不會悖離本揭露案的基本範疇。
100:處理系統 101:多重腔室處理系統 102:工廠介面 103:多重腔室處理系統 104:處理平台 105:多重腔室處理系統 106A-B:FOUP 107:多重腔室處理系統 108:對接站台 109:多重腔室處理系統 110:工廠介面機械手臂 111:多重腔室處理系統 112:處理腔室 114:處理腔室 116:葉片 118:計量站台 122:雙裝載鎖定腔室 123:第一側 125:第二側 127:終端 130:真空機械手臂 131:處理腔室 132:處理腔室 133:處理腔室 134:處理腔室 135:傳送葉片 136:傳送站台 138:中央處理單元 140:記憶體 142:支援電路 144:控制器 151:處理腔室 152:處理腔室 153:處理腔室 154:處理腔室 171:處理腔室 172:處理腔室 173:處理腔室 174:處理腔室 191:處理腔室 192:處理腔室 193:處理腔室 194:處理腔室 195:處理腔室 196:處理腔室 197:處理腔室 198:處理腔室 202:第一裝載鎖定腔室 203:第二裝載鎖定腔室 204:第一內部空間 206:第一基板支撐件 207a-b:第一複數個基板 208a-b:支撐表面 209:抬升組件 210:第一預定距離 212:加熱器 213:第二加熱器 214:第二內部空間 216:第二基板支撐件 217a-b:基板 208a-b:支撐表面 220:第二預定距離 230:箭頭 232:馬達 234a-b:抬升元件 236:一對分支 238:一對溝槽 240:氣體供應器 250:加熱器電源供應器 252:冷卻劑源 254:冷卻器 255:第二冷卻器 256:支撐元件 302a-c:第一、第二及第三葉片 303:馬達組件 304:前臂 305:第一軸 306a-b:第一及第二垂直距離 307:第二軸
以上簡要概述且以下詳細討論的本揭露案的實施例,可藉由參考隨附圖式中描繪的本揭露案的圖示性實施例而理解。然而,隨附圖式僅圖示本揭露案的通常實施例,且因此不應考量為對本揭露案之範疇的限制,因為本揭露案認可其他均等效果的實施例。
第1圖根據本揭露案的某些實施例,描繪處理系統之概要頂部視圖。
第2A-2B圖根據本揭露案的某些實施例,描繪雙裝載鎖定腔室的剖面視圖。
第2C圖根據本揭露案的某些實施例,描繪雙裝載鎖定腔室之中佈置的基板支撐件的頂部視圖。
第3圖根據本揭露案的某些實施例,描繪在處理系統中使用於多重基板傳送機械手臂的概要側視圖。
為了促進理解,已儘可能地使用相同的元件符號代表共通圖式中相同的元件。圖式並非按照比例繪製,且為了清楚起見而可簡化。一個實施例的元件及特徵可有益地併入其他實施例中而無須進一步說明。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
122:雙裝載鎖定腔室
202:第一裝載鎖定腔室
203:第二裝載鎖定腔室
204:第一內部空間
206:第一基板支撐件
207a-b:第一複數個基板
208a-b:支撐表面
209:抬升組件
210:第一預定距離
212:加熱器
213:第二加熱器
214:第二內部空間
216:第二基板支撐件
217a-b:基板
208a-b:支撐表面
220:第二預定距離
230:箭頭
232:馬達
234a-b:抬升元件
236:一對分支
238:一對溝槽
240:氣體供應器
250:加熱器電源供應器
256:支撐元件

Claims (20)

  1. 一種雙裝載鎖定腔室,包含: 一第一裝載鎖定腔室,具有一第一內部空間及佈置於該第一內部空間之中的一第一基板支撐件,其中該第一基板支撐件包括垂直間隔開來的一第一複數個支撐表面,以支撐相對應的一第一複數個基板,且其中該第一複數個支撐表面之相鄰一者利用一第一固定預定距離間隔開來;以及 一第二裝載鎖定腔室,佈置於相鄰該第一裝載鎖定腔室,且具有一第二內部空間及佈置於該第二內部空間之中的一第二基板支撐件,其中該第二基板支撐件包括垂直間隔開來的一第二複數個支撐表面,以支撐相對應的一第二複數個基板,且其中該第二複數個支撐表面之相鄰一者利用一第二固定預定距離間隔開來,該第二固定預定距離小於該第一固定預定距離。
  2. 如請求項1所述之雙裝載鎖定腔室,其中該第二固定預定距離為該第一固定預定距離的一半。
  3. 如請求項1所述之雙裝載鎖定腔室,其中該第一複數個支撐表面為兩個第一支撐表面,且其中該第二複數個支撐表面為兩個第二支撐表面。
  4. 如請求項3所述之雙裝載鎖定腔室,進一步包含至少一個熱傳送裝置,佈置於該第一基板支撐件之中,以加熱或冷卻相對應的該第一複數個基板。
  5. 如請求項1至4中任一項所述之雙裝載鎖定腔室,其中該至少一個熱傳送裝置佈置於相鄰該第一複數個支撐表面的一最下面一者,並且進一步包含一第二熱傳送裝置,佈置於相鄰該第一複數個支撐表面的一最上面一者。
  6. 如請求項1至4中任一項所述之雙裝載鎖定腔室,其中該至少一個熱傳送裝置為一電阻加熱元件。
  7. 如請求項1至4中任一項所述之雙裝載鎖定腔室,其中該至少一個熱傳送裝置為一冷卻板。
  8. 如請求項1所述之雙裝載鎖定腔室,其中該第一裝載鎖定腔室進一步包含一抬升組件,配置成抬升及降低相對應的該第一複數個基板而離開及至該第一複數個支撐表面。
  9. 如請求項1至4或8中任一項所述之雙裝載鎖定腔室,進一步包含: 一氣體供應器,耦合至該第一裝載鎖定腔室,以供應一氣體至該第一內部空間。
  10. 一種處理系統,包含: 一工廠介面; 一基板傳送腔室; 一或更多多重腔室處理系統,耦合至該基板傳送腔室; 一雙裝載鎖定腔室,將該工廠介面耦合至該基板傳送腔室,其中該雙裝載鎖定腔室包含: 一第一裝載鎖定腔室,具有一第一內部空間及佈置於該第一內部空間之中的一第一基板支撐件,其中該第一基板支撐件包括垂直間隔開來的一第一複數個支撐表面,以支撐相對應的一第一複數個基板,且其中該第一複數個支撐表面之相鄰一者利用一第一固定預定距離間隔開來; 一第二裝載鎖定腔室,佈置於相鄰該第一裝載鎖定腔室,且具有一第二內部空間及佈置於該第二內部空間之中的一第二基板支撐件,其中該第二基板支撐件包括垂直間隔開來的一第二複數個支撐表面,以支撐相對應的一第二複數個基板,且其中該第二複數個支撐表面之相鄰一者利用一第二固定預定距離間隔開來,該第二固定預定距離小於該第一固定預定距離;以及 一真空機械手臂,佈置於該基板傳送腔室中,且配置成在該雙裝載鎖定腔室及該一或更多多重腔室處理系統之間傳送基板。
  11. 如請求項10所述之處理系統,其中該真空機械手臂包括複數個葉片,該複數個葉片之各者藉由一垂直距離與一相鄰葉片間隔開來。
  12. 如請求項10或11所述之處理系統,其中該第二固定預定距離及該垂直距離實質上相等。
  13. 如請求項10或11所述之處理系統,其中該第一固定預定距離約為該垂直距離的兩倍大。
  14. 如請求項10或11所述之處理系統,其中該第二固定預定距離為該第一固定預定距離的一半。
  15. 如請求項10所述之處理系統,其中該第一複數個支撐表面為兩個第一支撐表面,且其中該第二複數個支撐表面為兩個第二支撐表面。
  16. 如請求項15所述之處理系統,進一步包含至少一個熱傳送裝置,佈置於該第一基板支撐件之中,以加熱或冷卻相對應的該第一複數個基板。
  17. 如請求項10、11、15、或16中任一項所述之處理系統,其中該至少一個熱傳送裝置佈置於相鄰該第一複數個支撐表面的一最下面一者,並且進一步包含一第二熱傳送裝置,佈置於相鄰該第一複數個支撐表面的一最上面一者。
  18. 如請求項10、11、15、或16中任一項所述之處理系統,其中該至少一個熱傳送裝置為一電阻加熱元件或一冷卻板之任一者。
  19. 一種雙裝載鎖定腔室,包含: 一第一裝載鎖定腔室,具有一第一內部空間及佈置於該第一內部空間之中的一第一基板支撐件,其中該第一基板支撐件包括垂直間隔開來的一第一複數個支撐表面,以支撐相對應的一第一複數個基板,且其中該第一複數個支撐表面之相鄰一者利用一第一預定距離間隔開來;以及 一第二裝載鎖定腔室,佈置於相鄰該第一裝載鎖定腔室,且具有一第二內部空間及佈置於該第二內部空間之中的一第二基板支撐件,其中該第二基板支撐件包括垂直間隔開來的一第二複數個支撐表面,以支撐相對應的一第二複數個基板,其中該第二複數個支撐表面之相鄰一者利用一第二預定距離間隔開來,該第二預定距離小於該第一預定距離。
  20. 如請求項19所述之雙裝載鎖定腔室,進一步包含: 一第一熱傳送裝置,佈置於相鄰該第一複數個支撐表面的一最下面一者,以在該第一複數個支撐表面之該最下面一者頂部加熱或冷卻相對應的該第一複數個基板之一者;以及 一第二熱傳送裝置,佈置於相鄰該第一複數個支撐表面的一最上面一者,以在該第一複數個支撐表面之該最上面一者頂部加熱或冷卻相對應的該第一複數個基板之另一者。
TW109140043A 2018-05-18 2019-05-13 雙裝載鎖定腔室以及包括雙裝載鎖定腔室的處理系統 TWI753655B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/983,683 2018-05-18
US15/983,683 US10720348B2 (en) 2018-05-18 2018-05-18 Dual load lock chamber

Publications (2)

Publication Number Publication Date
TW202125733A true TW202125733A (zh) 2021-07-01
TWI753655B TWI753655B (zh) 2022-01-21

Family

ID=68533424

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109140043A TWI753655B (zh) 2018-05-18 2019-05-13 雙裝載鎖定腔室以及包括雙裝載鎖定腔室的處理系統
TW108116349A TWI714085B (zh) 2018-05-18 2019-05-13 雙裝載鎖定腔室以及包括雙裝載鎖定腔室的處理系統

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108116349A TWI714085B (zh) 2018-05-18 2019-05-13 雙裝載鎖定腔室以及包括雙裝載鎖定腔室的處理系統

Country Status (6)

Country Link
US (2) US10720348B2 (zh)
JP (1) JP7106681B2 (zh)
KR (1) KR102458173B1 (zh)
CN (1) CN112074942A (zh)
TW (2) TWI753655B (zh)
WO (1) WO2019221986A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
JP6990800B1 (ja) * 2020-03-24 2022-01-14 株式会社日立ハイテク 真空処理装置
US11581203B2 (en) 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
CN112151431B (zh) 2020-09-25 2023-07-11 北京北方华创微电子装备有限公司 预装载腔室及半导体工艺平台
US20230113673A1 (en) * 2021-10-12 2023-04-13 Applied Materials, Inc. Factory interface robots usable with integrated load locks

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1988002551A1 (en) 1986-09-26 1988-04-07 General Electric Company Method and apparatus for packaging integrated circuit chips employing a polymer film overlay layer
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
JP2003007797A (ja) * 2001-06-20 2003-01-10 Sharp Corp 真空処理装置
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP4190785B2 (ja) * 2002-03-29 2008-12-03 株式会社日立プラントテクノロジー 枚葉基板の収納方法及び収納装置
KR101028065B1 (ko) * 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
JP4540953B2 (ja) * 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
CN1618716B (zh) * 2003-11-12 2011-03-16 周星工程股份有限公司 装载锁及使用其的装载锁腔室
JP2006005210A (ja) * 2004-06-18 2006-01-05 Hitachi Kokusai Electric Inc 半導体製造装置
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20070006936A1 (en) 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
WO2008144670A1 (en) * 2007-05-18 2008-11-27 Brooks Automation, Inc. Load lock fast pump vent
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US20090029502A1 (en) 2007-07-24 2009-01-29 Applied Materials, Inc. Apparatuses and methods of substrate temperature control during thin film solar manufacturing
JP2009071041A (ja) * 2007-09-13 2009-04-02 Canon Anelva Corp 真空処理装置
KR100941934B1 (ko) 2007-12-28 2010-02-11 주식회사 아토 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버
JP2009182235A (ja) * 2008-01-31 2009-08-13 Tokyo Electron Ltd ロードロック装置および基板冷却方法
JP5068738B2 (ja) * 2008-03-27 2012-11-07 大日本スクリーン製造株式会社 基板処理装置およびその方法
JP2010034505A (ja) 2008-06-30 2010-02-12 Canon Anelva Corp 積層ロードロックチャンバおよびそれを備えた基板処理装置
KR101032272B1 (ko) 2008-12-31 2011-05-06 주식회사 테스 로드락 챔버 및 이를 이용한 기판 처리 방법
KR20110016642A (ko) * 2009-08-12 2011-02-18 주식회사 아토 기판처리장치
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
KR101390900B1 (ko) * 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
KR101380240B1 (ko) 2011-11-17 2014-04-03 주식회사 유진테크 열차단플레이트를 포함하는 기판 처리 장치
US9337014B1 (en) * 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
KR101574740B1 (ko) 2013-08-28 2015-12-04 (주)젠 기상식각 및 세정을 위한 플라즈마 장치
US10727093B2 (en) * 2014-05-23 2020-07-28 Applied Materials, Inc. Light pipe window structure for low pressure thermal processes
JP6454201B2 (ja) * 2015-03-26 2019-01-16 東京エレクトロン株式会社 基板搬送方法及び基板処理装置
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US20190122870A1 (en) * 2016-07-14 2019-04-25 Tokyo Electron Limited Focus ring replacement method and plasma processing system

Also Published As

Publication number Publication date
JP7106681B2 (ja) 2022-07-26
TW202011542A (zh) 2020-03-16
US11195734B2 (en) 2021-12-07
US10720348B2 (en) 2020-07-21
US20200350191A1 (en) 2020-11-05
US20190355600A1 (en) 2019-11-21
TWI714085B (zh) 2020-12-21
KR102458173B1 (ko) 2022-10-25
JP2021524159A (ja) 2021-09-09
CN112074942A (zh) 2020-12-11
TWI753655B (zh) 2022-01-21
KR20200144149A (ko) 2020-12-28
WO2019221986A1 (en) 2019-11-21

Similar Documents

Publication Publication Date Title
TWI714085B (zh) 雙裝載鎖定腔室以及包括雙裝載鎖定腔室的處理系統
US9472432B1 (en) Dedicated hot and cold end effectors for improved throughput
KR102533126B1 (ko) 최적화된 저 에너지/고 생산성 디포지션 시스템
CN105529293B (zh) 用于传送晶片的设备前端模块以及传送晶片的方法
KR20190055259A (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
US10468278B2 (en) Substrate transfer method and substrate processing apparatus
US20140076494A1 (en) Processing system
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
JP4707749B2 (ja) 基板交換方法及び基板処理装置
KR102170007B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
WO2000030156A1 (fr) Systeme de traitement sous vide
KR102584339B1 (ko) 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
JP2013541843A (ja) 高さが低い双アーム真空ロボット
TW202109716A (zh) 同時進行基板傳輸的機械手
CN107731710B (zh) 用于衬底处理系统的装载站和衬底处理工具
JPH08321470A (ja) 処理装置
JP2015076458A (ja) 真空処理装置
US20220051918A1 (en) Transfer chamber with integrated substrate pre-process chamber
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
CN113937043A (zh) 真空输送装置和基板处理系统
US20170004982A1 (en) Batch processing apparatus
TW202111843A (zh) 基板搬送裝置及基板搬送方法
KR20190088023A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록매체
WO2013190370A1 (en) System for substrate handling and processing