TW202029026A - 用於設計虛設圖案的系統和方法 - Google Patents

用於設計虛設圖案的系統和方法 Download PDF

Info

Publication number
TW202029026A
TW202029026A TW108108347A TW108108347A TW202029026A TW 202029026 A TW202029026 A TW 202029026A TW 108108347 A TW108108347 A TW 108108347A TW 108108347 A TW108108347 A TW 108108347A TW 202029026 A TW202029026 A TW 202029026A
Authority
TW
Taiwan
Prior art keywords
pattern
dummy
density
dummy pattern
characteristic
Prior art date
Application number
TW108108347A
Other languages
English (en)
Other versions
TWI735851B (zh
Inventor
李碧峰
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW202029026A publication Critical patent/TW202029026A/zh
Application granted granted Critical
Publication of TWI735851B publication Critical patent/TWI735851B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

提供了用於設計用於改善晶片的表面平坦性的虛設圖案的系統和方法。包含:至少一處理器與儲存指令的至少一記憶體。所述指令在由所述至少一處理器運作時,使得所述至少一處理器執行一操作步驟。操作步驟包含:識別對應於所述晶片的功能區的特徵圖案,此外,所述操作步驟還包含:基於與所述特徵圖案相關聯的腳本來確定所述特徵圖案的性質;基於所述特徵圖案的所述性質來確定虛設圖案規則;以及透過基於所述虛設圖案規則在圍繞所述特徵圖案的相鄰區域中纏繞填充虛設單元,來生成對應於所述晶片的空白區的虛設圖案。

Description

用於設計虛設圖案的系統和方法
本發明的實施例涉及電腦輔助製造用設計(DFM)和半導體設計中的電子設計自動化(EDA),並且更具體地,涉及例如設計半導體領域中,立體(3D)記憶體件中的虛設圖案的施加方法與佈局。
DFM指基於促進製造製程,以減小產品的製造成本而設計或策劃產品的過程。DFM將使得潛在的問題固定於設計階段,在處理這些問題的各個階段中,其中設計階段所需花費的成本,相較於其他階段更低。在半導體工業中,DFM涵蓋限定半導體元件的部分和部件之間的空隙和/或公差,確保層等之間相連的平整。
通常使用EDA工具來實施DFM,其包含用於設計例如積體電路和印刷電路板的電子系統的軟體工具。工具在晶片設計者用來設計和分析整個半導體晶片的設計流程中一起運作。因為現代半導體晶片能夠具有數十億的部件,所以EDA工具對於它們的設計而言是必要的。
在一個範例中,提供了一種用於設計改善晶片的表面平坦性的虛設圖案佈局的系統。所述系統包含:至少一個處理器;以及儲存指令的至少一個記憶體。所述指令在由所述至少一個處理器運作時,可以使得所述至少一個處理器執行操作步驟。所述操作步驟可以包含:識別對應於所述晶片的功能區的特徵圖案。所述操作步驟還可以包含:基於與所述特徵圖案相關聯的腳本,來確定所述特徵圖案的性質。所述操作步驟還可以包含:基於所述特徵圖案的所述性質,來確定虛設圖案規則。此外,所述操作步驟可以包含:透過基於所述虛設圖案規則,在圍繞所述特徵圖案的相鄰區域中纏繞填充虛設單元,來生成對應於所述晶片的空白區的虛設圖案。
在另一範例中,提供了一種用於設計改善晶片的表面平坦性的虛設圖案佈局的方法。所述方法可以包含:識別對應於所述晶片的功能區的特徵圖案。所述方法還可以包含:基於與所述特徵圖案相關聯的腳本,來確定所述特徵圖案的性質。所述方法還可以包含:基於所述特徵圖案的所述性質,來確定虛設圖案規則。此外,所述方法可以包含:透過基於所述虛設圖案規則,在圍繞所述特徵圖案的相鄰區域中纏繞填充虛設單元,來生成對應於所述晶片的空白區的虛設圖案。
在再一範例中,提供了一種非暫存電腦可讀媒介。所述非暫存電腦可讀媒介可以儲存指令集。所述指令在由電子設備的至少一個處理器運作時,可以使得所述電子設備執行用於設計改善晶片的表面平坦性的虛設圖案佈局的方法。所述方法可以包含:識別對應於所述晶片的功能區的特徵圖案。所述方法還可以包含:基於與所述特徵圖案相關聯的腳本,來確定所述特徵圖案的性質。所述方法還可以包含:基於所述特徵圖案的所述性質,來確定虛設圖案規則。此外,所述方法可以包含:透過基於所述虛設圖案規則,在圍繞所述特徵圖案的相鄰區域中纏繞填充虛設單元,來生成對應於所述晶片的空白區的虛設圖案。
雖然討論了具體配置和排列,但是應當理解,這僅僅是為示例目的。本領域技術人員將認識到,能夠使用其它配置和排列,而不脫離本發明的精神和範圍。對本領域技術人員將明顯的是,也能夠將本發明採用於各種其它應用中。
應當注意,申請檔中對“一個實施例”、“實施例”、“範例實施例”、“一些實施例”等的引用指示描述的實施例可以包含特定特徵、結構、或特性,但是每一個實施例可以不必包含該特定特徵、結構、或特性。此外,該短語不必然指相同的實施例。此外,當聯繫實施例描述特定特徵、結構或特性時,不管是否明確描述,與其它實施例相聯繫來實現該特徵、結構或特性都在本領域技術人員的知識範圍內。
通常,至少部分根據上下文中的使用來理解術語學。例如,於此使用的術語“一個或多個”,至少部分取決於上下文,可以用於在單數的意義上描述任何特徵、結構、或特性,或可以用於在複數的意義上描述特徵、結構或特性的組合。類似地,例如“一”、“一個”、或“所述”的術語再次可以被理解為傳達單數使用或傳達複數使用,至少部分取決於上下文。另外,術語“基於”可以被理解為不必然意圖傳達排它的因素集,而是可以容許不必然清楚描述的附加因素的存在,再次,至少部分取決於上下文。
將易於理解的是,本發明中的“在……上”、“在……以上”、以及“在……之上”的意思應當被以最寬的方式解釋,使得“在……上”不僅意指“直接在……(某物)上”,而且也包含“在……(某物)上”且其間具有中間特徵或層,並且“在……以上”或“在……之上”不僅意指“在……(某物)以上”或“在……(某物)之上”的意思,而且也能夠包含“在……(某物)以上”或“在……(某物)之上”,而其間沒有中間特徵或層(即,直接在某物上)的意思。
此外,空間上的相對術語,例如“在……之下”、“在……以下”、“下部的”、“在……以上”、“上部的”等於此可以用於易於描述,以描述如圖中示例的一個元件或特徵與別的元件(單個或多個)或特徵(單個或多個)的關係。除圖中描繪的方向之外,空間上的相對術語還意圖涵蓋使用或操作步驟中的元件的不同方向。裝置可以另外地取向(旋轉90度或以其它取向)並且可以同樣地相應解釋於此使用的空間上的相對描述符。
如於此使用的,術語“基底”指一種材料,隨後的材料層要增加到該材料上。能夠對基底自身進行構圖。能夠對增加到基底頂上的材料進行構圖,或者增加到基底頂上的材料能夠保持未被構圖。此外,基底能夠包含寬廣系列的半導體材料,例如矽、鍺、砷化鎵、磷化銦等。替代地,基底能夠由例如玻璃、塑膠、或藍寶石晶片的非導電材料構成。
如於此使用的,術語“3D記憶體件”指半導體元件,該半導體元件在橫向取向的基底上具有儲存單元電晶體的垂直取向的串(也稱為“儲存串”,例如NAND儲存串),使得儲存串在相對於基底垂直的方向上延伸。如於此使用的,術語“垂直的/垂直地”意指名義上正交於基底的橫向表面。
在3D記憶體件加工中,化學機械拋光/平面化(CMP)製程通常用於:從晶片表面去除過量的導電材料和/或介電材料;和/或平滑晶片表面。CMP製程使用研磨劑和腐蝕性化學漿與拋光墊和保持環結合,保留環的直徑典型地大於晶片。透過動態拋光頭將墊和晶片壓到一起並透過保留環保持就位。動態拋光頭隨不同的旋轉軸旋轉以去除材料,並且傾向於使任何不規則的表面平坦,使得晶片為平坦的或平面的。
實踐中,歸因於過度拋光,CMP製程通常引起侵蝕(例如,對介電材料)和凹形變形(例如,對金屬)。侵蝕和凹形變形引起晶片的表面不一致。半導體元件(例如,3D記憶體件)加工中,例如混合鍵合的一些製程需要結合介面上的高度一致性,由此易於受到侵蝕和凹形變形的不利影響。當前系統難以滿足該製程所需的高度一致性。因此,為了改善結合性能,必須減少由侵蝕和凹形變形引起的不利影響,並改善晶片的表面平坦性。本發明的實施例提供處理前述問題的系統和方法。
侵蝕和凹形變形問題是否發生,取決於形成於晶片上的圖案的一致性。上述圖案指半導體元件的部分或部件的排列,包含例如沉積於基底上或形成於基底以上的層之上的導電材料和/或介電材料、單層互連層內或跨多層的半導體部件的金屬佈線等。一些功能部分或部件佔據半導體晶片的一些區域,呈現由例如線、塊、點、段等的各種形狀構成的圖案。晶片中的該區域可以稱為功能區,並且上述圖案可以稱為特徵圖案或設計圖案,特徵圖案與例如3D記憶體件的半導體元件相關聯的設計特徵。
因為特徵圖案通常不佔據晶片的整個表面區域,所以空白區(vacant region)如果被剩下而不被形成圖案,由於缺少特徵圖案,將引起較大的密度變化,由此引起侵蝕和凹形變形問題。為了減少侵蝕和凹形變形效果,將虛設圖案增加到空白區,以降低特徵圖案之間的密度變化不連續性。圖1A示例根據相關技術的將虛設圖案增加到晶片的空白區中的示範性方案。參照圖1A,晶片表面上的區域100(例如,基元(cell))可以含有第一特徵圖案110和第二特徵圖案112。每一個特徵圖案可以包含多個由有陰影的網底表示的特徵單元。特徵單元可以包含任何類型的功能部件,例如導電或介電材料的部分、金屬佈線段等。應當注意,特徵單元可以採取任何形狀和尺寸,而不必是圖1A中示出的方塊。使用圖1A中的空白塊來表示虛設圖案,空白塊包含多個虛設單元130(也可簡單稱為虛設物)。虛設單元可以由任何適合的材料(例如,介電材料、導電材料)構成,並且可以採取任何形狀和尺寸。因此,應當理解,圖1A中示出的方塊是示範性的並且僅為示例目的。
在圖1A中示出的示範性方案中,透過從預定開始位置起填充區域100內的虛設單元來形成虛設圖案,預定開始位置例如是角落位置120或中心位置122。例如,可以從區域100的角落位置120(例如晶片上的基元)開始朝向相對的角落位置以逐行或逐列的方式填充虛設單元。在另一範例中,可以從區域100的中心位置122開始朝向邊界,仍然以逐行或逐列方式,填充虛設單元。當虛設單元被填充至接近特徵圖案的區時,可以檢查某些放置條件是否得到滿足,例如虛設單元與特徵單元之間的最小空隙。因為從固定位置填充虛設單元,而沒有考慮特徵圖案的位置,所以可以發生虛設和特徵圖案之間的大的間隙。例如,假設從角落位置120逐列地填充圖1A中的虛設單元並且從左至右進行,則當虛設單元達到特徵圖案112時,確定空隙140不會足夠大到可以裝配額外列的虛設單元。結果,在虛設圖案與特徵圖案112之間形成了間隙150。間隙150的密度的變化可能在CMP製程期間引起不適合於後級製程的侵蝕或凹形變形,後級製程例如是混合鍵合。
圖1B示例具有多個間隙162(由此引起突然的密度變化)的示範性虛設圖案佈局160以及經由原子力顯微鏡(AFM)獲得的得到的結合介面170。如圖1B中示出的,結合介面170呈現相對高程度的不一致性,由遍及結合介面的暗點(對應於虛設單元190)和亮點(對應於特徵圖案180)之間的高對比指示。在例如混合鍵合的需要高程度的一致性的半導體加工製程中,使用結合介面170可能無法實現滿意的結合性能。
為了減小圖1A和圖1B中示例的突然密度變化,本發明的實施例提供了用於設計虛設圖案佈局的系統和方法,該虛設圖案佈局具有可控的密度梯度,使得遍及受到CMP製程的整個區域(例如,基元或晶片區)密度變化是漸進的。圖2中示出了示範性系統200的框圖。圖3中示出了由系統200生成的示範性虛設圖案佈局。圖4中繪示了用於設計虛設圖案,例如圖2中示出的那些虛設圖案,的示範性方法400的流程圖。在流程中,將一起描述圖2至圖4。將理解,本發明不限於方法400中示出的操作步驟,並且也能夠在任何示例的操作步驟之前、之後、或之間實施其它操作步驟。此外,可以同時,或以與圖4中示出的順序不同的順序,實施一些操作步驟。於此公開的系統和方法適用於涵蓋晶片表面平面化的任何半導體設計應用,例如製造用設計(DFM)、電子設計自動化(EDA)、半導體製程模擬、改善、和/或證實。
參照圖2,系統200可以包含記憶體230,記憶體230被配置為儲存一個或多個電腦指令,該一個或多個電腦指令在由至少一個處理器運作時,能夠使系統200執行於此公開的各種操作步驟。記憶體230可以是任何非暫存類型的大容量儲存器,例如揮發性的或非揮發性的、磁性的、基於半導體的、基於磁帶的、光學的、可移動的、不可移動的、或其它類型的儲存元件或可觸知的電腦可讀媒介,包含但不限於ROM、快閃記憶體、動態RAM、以及靜態RAM。
系統200還可以包含處理器210,處理器210被配置為根據儲存在記憶體230中的指令來執行操作步驟。處理器210可以包含任何合適類型的通用或專用微處理器、數位訊號處理器、或微控制器。處理器210可以被配置為專用於執行一個或多個特定操作步驟的單獨的處理器模組。替代地,處理器210可以被配置為用於執行與於此公開的該一個或多個特定操作步驟不相關的其它操作步驟的共用處理器模組。如圖2中示出的,處理器210可以包含多個模組,例如特徵圖案分析器212、虛設圖案生成器214、虛設圖案驗證單元216等。這些模組(以及任何對應的子模組或子單元)能夠是被設計與其它部件一起使用或用於運作程式的部分的處理器210的硬體單元(例如積體電路的部分)。雖然圖2示出了全都在一個處理器210內的模組212-216,但是預期這些模組可以分佈在彼此靠近或遠離設置的多個處理器中。
系統200也可以包含通信介面220。通信介面220可以包含任何類型的通信適配器,例如整合式服務數位網路(ISDN)卡、纜線數據機、衛星數據機、或提供數位通信連接的數據機。作為另一範例,通信介面220可以包含區域網(LAN)卡以提供至相容LAN的資料通信連接。也能夠透過通信介面220實現無線連結。在該實現中,通信介面220能夠經由網路發送和接收電、電磁、或光學信號,該信號攜帶表示各種類型的資訊的數位資料流程。網路典型地能夠包含蜂窩通信網路、無線局域網(WLAN)、廣域網路(WAN)等。在一些實施例中,通信介面220也可以包含輸入/輸出介面,例如顯示介面(例如,HDMI、DVI、VGA等)、音訊介面、鍵盤介面、滑鼠介面、印表機介面、觸控式螢幕介面等。
通信介面220可以被配置為在系統200與一個或多個其它系統/元件之間交換資訊。例如,通信介面220可以與資料庫240通信,資料庫240可以儲存關於半導體元件設計和/或加工的資訊,例如遮罩資訊、晶片資訊、特徵圖案資訊等。在一些實施例中,處理器210可以透過通信介面220接收儲存在資料庫240中的資訊。在一些實施例中,可以將由處理器210生成的虛設圖案發送至資料庫240以儲存。
在另一範例中,顯示器250可以透過通信介面220耦合至系統200。顯示器250可以包含液晶顯示器(LCD)、發光二極體顯示器(LED)、電漿顯示器、或任何其它類型的顯示器,並且提供呈現於顯示器上用於使用者輸入和資料描繪的圖形化使用者介面(GUI)。顯示器可以包含多種不同類型的材料,例如塑膠或玻璃,並且可以是觸敏的以接收來自用戶的輸入。例如,顯示器可以包含觸敏材料,該觸敏材料基本是剛性的,例如大猩猩玻璃(Gorilla GlassTM ),或基本是柔軟的,例如柳木玻璃(Willow GlassTM )。在一些實施例中,可以在顯示器250上顯示關於特徵圖案和/或虛設圖案的資訊。在一些實施例中,在由處理器210執行的一個或多個操作步驟完成之後,可以觸發警報並且在顯示器250上示出警報。
在另一範例中,終端設備260可以透過通信介面220耦合至系統200。終端設備260可以包含桌上型電腦、工作站、筆記型電腦、行動電話、平板、可穿戴設備、或被配置為執行計算任務的任何其它類型的設備。在一些實施例中,用戶可以使用終端設備260來控制系統200,例如,發起、監視、或終止與設計、分析、或生成虛設圖案相關的操作步驟。在一些實施例中,終端設備260可以接收由系統200生成的虛設圖案佈局。在一些實施例中,終端設備260可以接收指示,例如由處理器210執行的操作步驟的狀態的通知或警報。例如,可以透過通信介面220,將指示生成的虛設圖案佈局已經通過了證實步驟的信號傳達給終端設備260。在另一範例中,可以將指示生成的虛設圖案並未通過證實步驟的信號傳達給終端設備260,並且終端設備260可以發起另一虛設圖案設計任務,以生成替代佈局。
應當注意,資料庫240、顯示器250、和/或終端設備260中的一個或多個可以是系統200的部分,並且可以與系統200共處一地,或相對於系統200遠端設置,並經由網路或任何適合類型的通訊連結與系統200通信。
參照圖4,可以由處理器210執行方法400。例如,實現方法400的指令可以儲存在記憶體230中並由處理器210運作。預期方法400的任何步驟都能夠由處理器210獨立執行或由多個處理器聯合執行。以下為處理器210用作描述方法400的步驟的範例。方法400可以包含多個步驟,如以下描述的。應當理解,一些步驟對於執行於此提供的實施例是可選擇性的。此外,一些步驟可以同時執行,或以與圖4中示出的順序不同的流程執行。
在步驟402中,處理器210可以識別對應於晶片的功能區的特徵圖案。例如,處理器210可以透過通信介面220從例如資料庫240接收晶片的區域(例如,基元)中的特徵圖案佈局資訊。圖3中示出了包含特徵圖案310和特徵圖案320的示範性區域300。區域300可以是基元或含有半導體元件的功能部件的區域。在一些實施例中,可以在具有適當格式的電子檔中,例如電子設計自動化(EDA)檔中,含有特徵圖案佈局資訊。在接收特徵圖案佈局資訊之後,特徵圖案分析器212可以分析資訊以識別一個或多個特徵圖案,例如圖3中示出的特徵圖案310和320。例如,特徵圖案分析器212可以基於電子檔的內容、物理佈局資訊、和/或用於形成特徵圖案的遮罩資訊來識別特徵圖案。
在由特徵圖案分析器212識別一個或多個特徵圖案之後,方法400進行至步驟404,其中,處理器210可以確定特徵圖案的性質。例如,特徵圖案分析器212可以基於例如限定特徵圖案的EDA腳本,或與特徵圖案相關聯的腳本來確定特徵圖案的性質。在一些實施例中,特徵圖案分析器212可以分析EDA腳本以確定例如特徵單元的尺寸、特徵單元之間的間距(例如,距離或空隙)、特徵圖案的密度等的性質。如圖3中示出的,特徵圖案分析器212可以確定形成特徵圖案320的一個或多個特徵單元的尺寸322。在另一範例中,特徵圖案分析器212也可以確定相鄰特徵單元之間的間距324。在另一範例中,特徵圖案分析器212可以確定特徵圖案320的密度(例如,按照每單位面積的特徵單元的數量、每單位長度的特徵單元的數量、特徵單元的尺寸和間距等來計算)。
在步驟406中,處理器210可以基於特徵圖案的性質,來確定虛設圖案規則。例如,虛設圖案生成器214可以基於由特徵圖案分析器212確定的一個或多個性質來確定虛設圖案。在一些實施例中,虛設圖案規則可以包含虛設圖案的密度。例如,基於尺寸322和/或間距324,虛設圖案生成器214可以確定特徵圖案的密度(例如,按照每單位面積的特徵單元的數量、每單位長度的特徵單元的數量、特徵單元的尺寸和間距等來計算)。在另一範例中,可以由特徵圖案分析器212確定特徵圖案的密度,並且將該密度提供給虛設圖案生成器214。在任一情況下,虛設圖案生成器214可以基於特徵圖案的密度,來確定要填充於區域300的空白區中的虛設圖案的密度。在一些實施例中,可以將虛設圖案的密度確定為與特徵圖案的密度基本相同或接近,以確保從特徵圖案至虛設圖案的密度是逐漸變化(如果有的話)或甚至基本沒有變化。例如,虛設圖案與特徵圖案的密度之間的差異可以被控制在預定容限內(例如,小於20%、小於15%、小於10%、小於5%、小於2%、小於1%等)。以此方式,可以減小或甚至避免巨大的或突然的密度變化。
在步驟408中,虛設圖案生成器214可以透過基於虛設圖案規則,在圍繞特徵圖案的相鄰區域中纏繞填充(wrap-fill)虛設單元,來生成對應於晶片的空白區的虛設圖案。例如,參照圖3,虛設單元340(由具有交叉陰影線的網底表示)可以纏繞填充在與特徵圖案320相鄰的空白區中的特徵圖案320周圍,使得虛設單元340纏繞在特徵圖案320的整個周圍。此處所使用的“纏繞填充”指在虛設圖案規則下,將虛設單元放置在緊鄰特徵圖案的外邊界的整個外邊界之上之虛設單元填充技術。在一些實施例中,單一虛設單元組成的單一環狀圖案,可以用於纏繞在特徵圖案的周圍。在其它實施例中,多層虛設單元組成的多層環,可以用於纏繞在特徵圖案周圍。與圖1A中示出的填充方案相比,“纏繞填充”技術很接近特徵圖案開始虛設單元填充處理,由此確保特徵圖案的適當的空隙和圖案的平滑連續性(例如,在從特徵圖案至虛設圖案的過渡處)。例如,纏繞填充的虛設單元340的尺寸(由邊長342表示)可以保持與特徵圖案320中的特徵單元的尺寸(由邊長322表示)基本相同或接近。在另一範例中,虛設單元340的間距344可以保持與特徵圖案320中的特徵單元的間距324基本相同或接近。以此方式,纏繞填充的虛設單元340用作特徵圖案320的外邊界上的特徵單元的延伸,具有一致的尺寸和/或間距,由此維持了從特徵圖案320至纏繞填充的虛設圖案的相對恆定密度的過渡。
在一些實施例中,可以在填充晶片的其它其餘空白區之前纏繞填充多個特徵圖案。例如,虛設單元340可以纏繞填充特徵圖案320。類似地,虛設單元330可以纏繞填充特徵圖案310。在以虛設單元纏繞填充所有特徵圖案之後,然後可以以附加虛設單元填充其餘空白區,以形成全部虛設圖案。
在一些實施例中,多個特徵圖案可以由特徵圖案分析器212根據它們的製造用設計(DFM)性質(例如,特徵單元尺寸、間距、密度等)分類到不同的組中。每一個組可以與由虛設圖案生成器214確定的虛設圖案規則相關聯。可以根據對應的虛設圖案規則,對每一個組執行虛設單元的纏繞填充。在已經以虛設單元纏繞填充所有組的特徵圖案之後,然後可以以附加虛設單元填充其餘空白區。
在步驟410中,處理器210可以基於兩個特徵圖案之間的距離和兩個特徵圖案之間的密度差異,來確定兩個特徵圖案之間的密度梯度。例如,虛設圖案生成器214可以確定指示從相鄰區域(例如,執行纏繞填充所在的虛設單元340的區域)至更遠離特徵圖案320的延伸區域(例如,虛設單元350的區域)的虛設圖案的密度變化的密度梯度。在一些實施例中,密度梯度可以由相鄰虛設單元之間的間距的變化表示。如圖3中示出的,圖示370中示出了範例密度梯度,其中,垂直軸表示密度D(例如,按照相鄰虛設單元之間的間距),且水平軸x表示特徵圖案310與320之間的距離。縱軸的直方圖案(stem)344’、352’、354’、以及356’的高度分別指示對應間距344、間距352、間距354、以及間距356的長度。如圖3中示出的,相鄰區域中的間距344可以與特徵圖案320的間距基本相同或接近。如果將相同間距施加於特徵圖案310與320之間的所有虛設單元,則在整數的虛設單元不能裝配在兩個特徵圖案之間的距離內時,會在虛設單元之間產生間隙。為了避免此狀況,能夠從相鄰區域朝向延伸區域逐漸增大間距,如由圖示370中的直方圖案344’、352’、和354’示出的。間距也可以隨著虛設單元接近特徵圖案310而逐漸降低,如由圖示370中的354’和356’示出的。以此方式,能夠實現逐漸的密度變化,避免了密度的突然變化。
在一些實施例中,特徵圖案310和320的密度可以不同。在此情況下,可以將密度梯度確定為從特徵圖案310的第一密度至特徵圖案320的第二密度逐漸改變密度。例如,假定特徵圖案310的間距(密度指示器)為40(無單位,因為僅考慮相對值)且特徵圖案320的間距為80。假定兩個特徵圖案(減去纏繞填充的區域)之間的距離能夠裝配2個虛設單元,如由圖3中的虛設單元350示出的。能夠將三個間距356、354、以及352分別設定為50、60、以及70,以提供從40(特徵圖案310的間距)至80(特徵圖案320的間距)逐漸變化的間距差值。當然,能夠使用能夠實現逐漸的密度變化的任何方法。
在一些實施例中,也能夠透過改變虛設單元的尺寸來控制密度梯度。例如,不同尺寸的虛設單元可以用於替代不同間距或與不同間距結合,以實現密度梯度的精細控制。
在步驟412中,處理器210可以基於密度梯度,在延伸區域中填充虛設單元。例如,虛設圖案生成器214可以根據密度梯度(例如,356、354、352等)在特徵圖案310與320之間的其餘空白區域中填充虛設單元350。在一些實施例中,可以遍及整個空白區域,以控制的密度分佈給所有其餘空白區域填滿虛設單元。應當注意,空白區域中虛設單元的“填充”可以指設計步驟,其中,確定虛設單元的位置、形狀、尺寸、或其它性質。然而,物理虛設單元可以或可以不形成於半導體晶片上。然而,具有基於公開的系統和方法生成的設計而排列的虛設圖案佈局的半導體晶片也在此公開的範圍內。
在步驟414中,處理器210可以驗證虛設圖案佈局。例如,虛設圖案驗證單元216可以包含半導體加工製程模擬器,例如CMP模型,以檢查由虛設圖案生成器214生成的虛設圖案佈局的密度和表面輪廓。如果虛設圖案佈局通過了驗證過程,則處理器210可以將虛設圖案佈局設計儲存在記憶體230和/或資料庫240中。在一些實施例中,處理器210可以觸發警報以通知終端設備260和/或在顯示器250上顯示通知。另一方面,如果虛設圖案佈局未通過驗證過程,則可以發起新的虛設圖案設計循環,以生成新的設計或改進或改善現有的設計。
本發明的另一方面涉及儲存指令的非暫存電腦可讀媒介,該指令在被運作時,使得一個或多個處理器執行如以上討論的方法。電腦可讀媒介可以包含揮發性的或非揮發性的、磁性的、基於半導體的、基於磁帶的、光學的、可移動的、不可移動的、或其它類型的電腦可讀媒介或電腦可讀儲存元件。例如,電腦可讀媒介可以是儲存元件或儲存模組,其上儲存有電腦指令,如公開的。在一些實施例中,電腦可讀媒介可以是其上儲存有電腦指令的快閃記憶體硬碟或光碟。
於此公開的系統和方法減少了源自CMP處理的侵蝕和凹形變形效果,由此改善了半導體元件的晶片之表面平坦性。例如,一些實施例能夠實現小於30 Å的單孔凹形變形,滿足例如混合鍵合的後續製程中,對介面輪廓的嚴格要求。透過經纏繞填充和對密度梯度施加限制,來減小或甚至消除圖案密度中的形狀變化,公開的系統和方法能夠改善CMP處理之後晶片表面的一致性,由此改善加工半導體元件中的結合執行。
根據本發明的一方面,提供了一種設計用於改善晶片的表面平坦性的虛設圖案佈局的系統。所述系統包含:至少一個處理器;以及至少一個記憶體。所述記憶體儲存指令,所述指令在由所述至少一個處理器運作時,使得所述至少一個處理器執行如下操作步驟。所述操作步驟包含:識別對應於所述晶片的功能區的特徵圖案。所述操作步驟還包含:基於與所述特徵圖案相關聯的腳本來確定所述特徵圖案的性質。所述操作步驟還包含:基於所述特徵圖案的所述性質來確定虛設圖案規則。此外,所述操作步驟包含:透過基於所述虛設圖案規則在圍繞所述特徵圖案的相鄰區域中纏繞填充虛設單元,來生成對應於所述晶片的空白區的虛設圖案。
在一些實施例中,所述虛設圖案規則包含所述虛設圖案的密度。
在一些實施例中,所述操作步驟包含:基於所述特徵圖案的密度來確定所述虛設圖案的所述密度。所述虛設圖案的所述密度與所述特徵圖案的所述密度之間的差異在預定容限內。
在一些實施例中,所述操作步驟包含:基於所述虛設圖案規則,將所述虛設圖案從所述相鄰區域延伸至更遠離所述特徵圖案的延伸區域。
在一些實施例中,所述虛設圖案規則包含密度梯度,所述密度梯度指示所述虛設圖案從所述相鄰區域至所述延伸區域的密度變化。
在一些實施例中,所述操作步驟包含:基於所述特徵圖案與第二特徵圖案之間的距離以及所述特徵圖案與所述第二特徵圖案之間的密度差異來確定所述密度梯度。
在一些實施例中,所述操作步驟包含:基於所述密度梯度來在所述延伸區域中填充虛設單元。
在一些實施例中,所述特徵圖案的所述性質包含以下至少之一:形成所述特徵圖案的功能單元的尺寸或間距。
在一些實施例中,所述操作步驟包含:使用半導體加工製程模擬器來驗證所述虛設圖案的佈局。
根據本發明的另一方面,提供了一種用於設計用於改善晶片的表面平坦性的虛設圖案佈局的方法。所述方法包含:識別對應於所述晶片的功能區的特徵圖案。所述方法還包含:基於與所述特徵圖案相關聯的腳本來確定所述特徵圖案的性質。所述方法還包含:基於所述特徵圖案的所述性質來確定虛設圖案規則。此外,所述方法包含:透過基於所述虛設圖案規則在圍繞所述特徵圖案的相鄰區域中纏繞填充虛設單元,來生成對應於所述晶片的空白區的虛設圖案。
在一些實施例中,所述虛設圖案規則包含所述虛設圖案的密度。
在一些實施例中,所述方法包含:基於所述特徵圖案的密度來確定所述虛設圖案的所述密度。所述虛設圖案的所述密度與所述特徵圖案的所述密度之間的差異在預定容限內。
在一些實施例中,所述方法包含:基於所述虛設圖案規則,將所述虛設圖案從所述相鄰區域延伸至更遠離所述特徵圖案的延伸區域。
在一些實施例中,所述虛設圖案規則包含密度梯度,所述密度梯度指示所述虛設圖案從所述相鄰區域至所述延伸區域的密度變化。
在一些實施例中,所述方法包含:基於所述特徵圖案與第二特徵圖案之間的距離以及所述特徵圖案與所述第二特徵圖案之間的密度差異來確定所述密度梯度。
在一些實施例中,所述方法包含:基於所述密度梯度來在所述延伸區域中填充虛設單元。
在一些實施例中,所述特徵圖案的所述性質包含以下至少之一:形成所述特徵圖案的功能單元的尺寸或間距。
在一些實施例中,所述方法包含:使用半導體加工製程模擬器來驗證所述虛設圖案的佈局。
根據本發明的又一方面,提供了一種非暫存電腦可讀媒介。所述非暫存電腦可讀媒介儲存指令集。所述指令集在由電子設備的至少一個處理器運作時,使得所述電子設備執行用於設計用於改善晶片的表面平坦性的虛設圖案佈局的方法。所述方法包含:識別對應於所述晶片的功能區的特徵圖案。所述方法還包含:基於與所述特徵圖案相關聯的腳本來確定所述特徵圖案的性質。所述方法還包含:基於所述特徵圖案的所述性質來確定虛設圖案規則。此外,所述方法包含:透過基於所述虛設圖案規則在圍繞所述特徵圖案的相鄰區域中纏繞填充虛設單元,來生成對應於所述晶片的空白區的虛設圖案。
在一些實施例中,所述虛設圖案規則包含所述虛設圖案的密度。
在一些實施例中,所述方法包含:基於所述特徵圖案的密度來確定所述虛設圖案的所述密度。所述虛設圖案的所述密度與所述特徵圖案的所述密度之間的差異在預定容限內。
在一些實施例中,所述方法包含:基於所述虛設圖案規則,將所述虛設圖案從所述相鄰區域延伸至更遠離所述特徵圖案的延伸區域。
在一些實施例中,所述虛設圖案規則包含密度梯度,所述密度梯度指示所述虛設圖案從所述相鄰區域至所述延伸區域的密度變化。
在一些實施例中,所述方法包含:基於所述特徵圖案與第二特徵圖案之間的距離以及所述特徵圖案與所述第二特徵圖案之間的密度差異來確定所述密度梯度。
在一些實施例中,所述方法包含:基於所述密度梯度來在所述延伸區域中填充虛設單元。
在一些實施例中,所述特徵圖案的所述性質包含以下至少之一:形成所述特徵圖案的功能單元的尺寸或間距。
在一些實施例中,所述方法包含:使用半導體加工製程模擬器來驗證所述虛設圖案的佈局。
特定實施例的前述描述將如此揭露本發明的總體特性,以致其他人透過應用本領域技術人員的知識,在沒有不適當的試驗的情況下,能夠容易地修改和/或適應該特定實施例的各種應用,而不脫離本發明的總體概念。因此,基於於此陳述的教導和指導,意圖該適應和修改在公開的實施例的等同的意義和範圍內。將理解,於此的措詞和術語是用於描述目的,而不是限制,使得本說明書的術語或措詞應由本領域技術人員基於該教導和指導來進行解釋。
以上已經借助於示例指令功能的實施及其關係的功能構建塊描述了本發明的實施例。為描述方便,於此任意限定了這些功能構建塊的邊界。能夠限定替代邊界,只要適合地執行了其指定功能及關係就行。
發明內容和摘要部分可以闡述由發明人(一個或多個)設想的本發明的一個或多個但不是全部示範性實施例,並且進而不是意在以任何方式限制本發明和所附權利要求。
本發明的廣度和範圍不應受到任何上述示範性實施例的限制,而僅僅應當被根據以下權利要求及其等同物限定。 以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
100、300:區域 110:第一特徵圖案 112:第二特徵圖案 120:角落位置 122:中心位置 130、190、330、340、350:虛設單元 140:空隙 150、162:間隙 160:虛設圖案佈局 170:結合介面 180、310、320:特徵圖案 200:系統 210:處理器 212:特徵圖案分析器 214:虛設圖案生成器 216:虛設圖案驗證單元 220:通信介面 230:記憶體 240:資料庫 250:顯示器 260:終端設備 322:尺寸 324、344、352、354、356:間距 344’、352’、354’、356’:直方圖案 342:邊長 370:圖示 400:方法 402、404、406、408、410、412、414:步驟 D:密度
併入於此並形成說明書的部分的附圖繪示了本發明的實施例,並且與描述一起,還用於解釋本發明的原理,並使得本領域技術人員能夠實現並使用本發明。 圖1A繪示了虛設圖案設計中的相關技術。 圖1B繪示了呈現表面不一致性的示範性結合介面。 圖2繪示了根據本發明的一些實施例的用於設計虛設圖案佈局的示範性系統的框圖。 圖3繪示了根據本發明的各種實施例的使用圖2的系統設計的示範性虛設圖案佈局。 圖4是根據本發明的一些實施例的用於設計虛設圖案佈局的示範性方法的流程圖。 將參照附圖描述本發明的實施例。
300:區域
330、340、350:虛設單元
310、320:特徵圖案
322:尺寸
324、344、352、354、356:間距
344’、352’、354’、356’:直方圖案
342:邊長
370:圖示
D:密度

Claims (20)

  1. 一種被設計用於改善一晶片的表面平坦性的虛設圖案佈局的系統,包括: 至少一個處理器;以及 儲存一指令的至少一個記憶體,所述指令在由所述至少一個處理器運作時,使得所述至少一個處理器執行包括如下步驟的操作步驟: 識別對應於所述晶片的一功能區的一特徵圖案; 基於與所述特徵圖案相關聯的一腳本,來確定所述特徵圖案的一性質; 基於所述特徵圖案的所述性質來確定一虛設圖案規則;以及 透過基於所述虛設圖案規則,在圍繞所述特徵圖案的一相鄰區域中纏繞填充(wrap-fill)一虛設單元,來生成對應於所述晶片的一空白區的一虛設圖案。
  2. 如申請專利範圍第1項所述的系統,其中,所述虛設圖案規則包括所述虛設圖案的一密度。
  3. 如申請專利範圍第2項所述的系統,其中,所述操作步驟包括: 基於所述特徵圖案的密度來確定所述虛設圖案的所述密度,其中,所述虛設圖案的所述密度與所述特徵圖案的所述密度之間的差異在一預定容限內。
  4. 如申請專利範圍第1項所述的系統,其中,所述操作步驟包括: 基於所述虛設圖案規則,將所述虛設圖案從所述相鄰區域延伸至更遠離所述特徵圖案的一延伸區域。
  5. 如申請專利範圍第4項所述的系統,其中,所述虛設圖案規則包括一密度梯度,所述密度梯度指示所述虛設圖案從所述相鄰區域至所述延伸區域的一密度變化。
  6. 如申請專利範圍第5項所述的系統,其中,所述操作步驟包括: 基於所述特徵圖案與一第二特徵圖案之間的距離,以及所述特徵圖案與所述第二特徵圖案之間的密度差異來確定所述密度梯度。
  7. 如申請專利範圍第5項所述的系統,其中,所述操作步驟包括: 基於所述密度梯度,在所述延伸區域中填充所述虛設單元。
  8. 如申請專利範圍第1項所述的系統,其中,所述特徵圖案的所述性質包括以下至少之一:形成所述特徵圖案的一特徵單元的尺寸或間距。
  9. 如申請專利範圍第1項所述的系統,其中,所述操作步驟包括: 使用一半導體加工製程模擬器來驗證所述虛設圖案的佈局。
  10. 一種設計用於改善一晶片的表面平坦性的虛設圖案佈局的方法,包括: 識別對應於所述晶片的一功能區的一特徵圖案; 基於與所述特徵圖案相關聯的腳本,來確定所述特徵圖案的一性質; 基於所述特徵圖案的所述性質,來確定一虛設圖案規則;以及 透過基於所述虛設圖案規則,在圍繞所述特徵圖案的一相鄰區域中纏繞填充(wrap-fill)一虛設單元,來生成對應於所述晶片的一空白區的一虛設圖案。
  11. 如申請專利範圍第10項所述的系統方法,其中,所述虛設圖案規則包括所述虛設圖案的密度。
  12. 如申請專利範圍第11項所述的方法,包括: 基於所述特徵圖案的一密度,來確定所述虛設圖案的所述密度,其中,所述虛設圖案的所述密度與所述特徵圖案的所述密度之間的差異在預定容限內。
  13. 如申請專利範圍第10項所述的方法,包括: 基於所述虛設圖案規則,將所述虛設圖案從所述相鄰區域延伸至更遠離所述特徵圖案的一延伸區域。
  14. 如申請專利範圍第13項所述的方法,其中,所述虛設圖案規則包括一密度梯度,所述密度梯度指示所述虛設圖案從所述相鄰區域至所述延伸區域的密度變化。
  15. 如申請專利範圍第14項所述的方法,包括: 基於所述特徵圖案與一第二特徵圖案之間的距離,以及所述特徵圖案與所述第二特徵圖案之間的密度差異來確定所述密度梯度。
  16. 如申請專利範圍第14項所述的方法,包括: 基於所述密度梯度,在所述延伸區域中填充所述虛設單元。
  17. 如申請專利範圍第10項所述的方法,其中,所述特徵圖案的所述性質包括以下至少之一:形成所述特徵圖案的一特徵單元的尺寸或間距。
  18. 如申請專利範圍第10項所述的方法,包括: 使用一半導體加工製程模擬器來驗證所述虛設圖案的佈局。
  19. 一種儲存一指令集的非暫存電腦可讀媒介,所述指令集在由一電子設備的至少一個處理器運作時,使得所述電子設備執行一用於設計改善晶片的表面平坦性的虛設圖案佈局的方法,所述方法包括: 識別對應於所述晶片的一功能區的一特徵圖案; 基於與所述特徵圖案相關聯的腳本,來確定所述特徵圖案的一性質; 基於所述特徵圖案的所述性質,來確定一虛設圖案規則;以及 透過基於所述虛設圖案規則,在圍繞所述特徵圖案的一相鄰區域中纏繞填充(wrap-fill)一虛設單元,來生成對應於所述晶片的一空白區的一虛設圖案。
  20. 如申請專利範圍第19項所述的非暫存電腦可讀媒介,其中,所述虛設圖案規則包括所述虛設圖案的密度。
TW108108347A 2019-01-28 2019-03-13 用於設計虛設圖案的系統和方法 TWI735851B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/CN2019/073488 2019-01-28
PCT/CN2019/073488 WO2020154862A1 (en) 2019-01-28 2019-01-28 Systems and methods for designing dummy patterns

Publications (2)

Publication Number Publication Date
TW202029026A true TW202029026A (zh) 2020-08-01
TWI735851B TWI735851B (zh) 2021-08-11

Family

ID=66938504

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108108347A TWI735851B (zh) 2019-01-28 2019-03-13 用於設計虛設圖案的系統和方法

Country Status (7)

Country Link
US (1) US10956648B2 (zh)
EP (1) EP3850628B1 (zh)
JP (1) JP7190575B2 (zh)
KR (1) KR102565831B1 (zh)
CN (1) CN109891414B (zh)
TW (1) TWI735851B (zh)
WO (1) WO2020154862A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI838128B (zh) * 2023-02-17 2024-04-01 華碩電腦股份有限公司 線路佈局方法及裝置

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11881477B2 (en) * 2020-02-19 2024-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy poly layout for high density devices
CN111611761B (zh) * 2020-05-15 2023-09-22 全芯智造技术有限公司 生成电路版图图案的方法、设备和计算机可读存储介质

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3479052B2 (ja) * 2001-04-23 2003-12-15 沖電気工業株式会社 半導体装置のダミー配置判定方法
JP2003324149A (ja) * 2002-04-26 2003-11-14 Nec Electronics Corp ダミーパターンの自動発生方法
US8410571B2 (en) * 2006-07-12 2013-04-02 United Microelectronics Corp. Layout of dummy patterns
US7849436B2 (en) * 2006-08-11 2010-12-07 Dongbu Hitek Co., Ltd. Method of forming dummy pattern
JP4714854B2 (ja) 2006-09-05 2011-06-29 独立行政法人産業技術総合研究所 マスクパターン設計方法、マスクパターン設計装置および半導体装置の製造方法
US20080121939A1 (en) 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
JP5007250B2 (ja) * 2008-02-14 2012-08-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100961204B1 (ko) 2008-06-18 2010-06-09 주식회사 하이닉스반도체 혼합 보조 패턴을 이용한 반도체 소자의 패턴 형성 방법
JP5282649B2 (ja) * 2008-09-25 2013-09-04 富士通株式会社 レイアウト評価装置、レイアウト評価プログラム、ダミールール生成装置及びダミールール生成プログラム
CN102129169B (zh) * 2010-01-13 2012-08-22 中芯国际集成电路制造(上海)有限公司 一种辅助图案填充方法和装置
CN102799060B (zh) * 2011-05-26 2017-08-29 联华电子股份有限公司 虚设图案以及形成虚设图案的方法
US8549453B2 (en) * 2012-01-31 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device feature density gradient verification
US8719755B2 (en) * 2012-07-31 2014-05-06 Taiwan Semiconductor Manufacturing Company Limited Graded dummy insertion
US8978000B2 (en) 2012-12-27 2015-03-10 Taiwan Semiconductor Manufacturing Co. Ltd. Performance-driven and gradient-aware dummy insertion for gradient-sensitive array
US9632498B2 (en) * 2013-03-12 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of compensating for filling material losses in electroplating processes
CN104050309B (zh) * 2013-03-14 2018-11-06 台湾积体电路制造股份有限公司 主图案和切割图案的布局优化
CN103441096B (zh) * 2013-08-02 2015-11-25 上海华力微电子有限公司 一种冗余图形填充方法
JP6173889B2 (ja) 2013-11-28 2017-08-02 ソニーセミコンダクタソリューションズ株式会社 シミュレーション方法、シミュレーションプログラム、加工制御システム、シミュレータ、プロセス設計方法およびマスク設計方法
CN107978598B (zh) * 2016-10-24 2020-07-07 中芯国际集成电路制造(上海)有限公司 一种标准单元的版图结构及电子装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI838128B (zh) * 2023-02-17 2024-04-01 華碩電腦股份有限公司 線路佈局方法及裝置

Also Published As

Publication number Publication date
JP2022509283A (ja) 2022-01-20
EP3850628A4 (en) 2022-04-13
US20200242211A1 (en) 2020-07-30
KR20210068119A (ko) 2021-06-08
EP3850628A1 (en) 2021-07-21
WO2020154862A1 (en) 2020-08-06
TWI735851B (zh) 2021-08-11
US10956648B2 (en) 2021-03-23
CN109891414A (zh) 2019-06-14
EP3850628B1 (en) 2023-10-18
JP7190575B2 (ja) 2022-12-15
CN109891414B (zh) 2023-07-04
KR102565831B1 (ko) 2023-08-09

Similar Documents

Publication Publication Date Title
TWI735851B (zh) 用於設計虛設圖案的系統和方法
JP2001522111A (ja) Icレイアウトにおけるポリゴン表現
Chang et al. Ultra high density IO fan-out design optimization with signal integrity and power integrity
US9672611B2 (en) Pattern analysis method of a semiconductor device
TWI684110B (zh) 提供積體電路佈局資料之方法、系統與電腦程式產品
US8136084B2 (en) Arranging through silicon vias in IC layout
KR102255450B1 (ko) 반도체 장치의 레이아웃 설계 방법
CN108122267B (zh) 一种冗余金属的填充方法及装置
EP0791887B1 (en) Flip-Chip layout input apparatus and method
US8839177B1 (en) Method and system allowing for semiconductor design rule optimization
TW201924013A (zh) 孔柱結構及其製造的方法
JP5609302B2 (ja) 接触定義装置、接触定義プログラム及び接触定義方法
US20150076706A1 (en) Through-silicon via unit cell and methods of use
US20240105633A1 (en) Wafer-scale chip structure and method and system for designing the structure
US11775730B2 (en) Hierarchical large block synthesis (HLBS) filling
US11916384B2 (en) Region-based power grid generation through modification of an initial power grid based on timing analysis
US10783308B1 (en) Method of assigning contact elements associated with an integrated circuit device
JP5733054B2 (ja) 半導体集積回路の設計装置及び半導体集積回路の設計方法
JP5309728B2 (ja) レチクルデータ作成方法及びレチクルデータ作成装置
JPH10247206A (ja) 半導体集積回路装置の設計方法および設計装置
CN109791923A (zh) 用于减小应力的圆化的金属迹线拐角
US20140201694A1 (en) Wrap Based Fill In Layout Designs
KR20230078793A (ko) 후면 웨이퍼 수정
CN115935898A (zh) 引脚分配方法、装置、电子设备和计算机可读存储介质
KR20210028798A (ko) 반도체 장치의 제조 방법