KR102565831B1 - 더미 패턴을 설계하는 시스템 및 방법 - Google Patents

더미 패턴을 설계하는 시스템 및 방법 Download PDF

Info

Publication number
KR102565831B1
KR102565831B1 KR1020217013403A KR20217013403A KR102565831B1 KR 102565831 B1 KR102565831 B1 KR 102565831B1 KR 1020217013403 A KR1020217013403 A KR 1020217013403A KR 20217013403 A KR20217013403 A KR 20217013403A KR 102565831 B1 KR102565831 B1 KR 102565831B1
Authority
KR
South Korea
Prior art keywords
pattern
dummy pattern
dummy
feature
density
Prior art date
Application number
KR1020217013403A
Other languages
English (en)
Other versions
KR20210068119A (ko
Inventor
비펑 리
Original Assignee
양쯔 메모리 테크놀로지스 씨오., 엘티디.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 양쯔 메모리 테크놀로지스 씨오., 엘티디. filed Critical 양쯔 메모리 테크놀로지스 씨오., 엘티디.
Publication of KR20210068119A publication Critical patent/KR20210068119A/ko
Application granted granted Critical
Publication of KR102565831B1 publication Critical patent/KR102565831B1/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

웨이퍼의 표면 평탄도를 개선하기 위한 더미 패턴 레이아웃을 설계하는 시스템 및 방법이 제공된다. 예시적인 시스템은 적어도 하나의 프로세서 및 명령을 저장하는 적어도 하나의 메모리를 포함한다. 명령은 적어도 하나의 프로세서에 의해 실행될 때 적어도 하나의 프로세서가 작동을 수행하게 한다. 작동은 웨이퍼의 기능 영역에 대응하는 특징 패턴을 식별하는 것을 포함한다. 작동은 또한 특징 패턴과 연관된 스크립트에 기반하여 특징 패턴의 속성을 결정하는 것을 포함한다. 작동은 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정하는 것을 더 포함한다. 또한, 작동은 더미 패턴 규칙에 기반하여 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필하는 것에 의해, 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성하는 것을 포함한다.

Description

더미 패턴을 설계하는 시스템 및 방법
본 개시의 실시 예들은 반도체 설계에서의 컴퓨터 지원 제조용 설계(design-for-manufacturing, DFM) 및 전자 설계 자동화(electronic design automation, EDA)에 관한 것으로, 보다 상세하게는 3차원(three-dimensional, 3D) 메모리 디바이스 설계와 같은 반도체 설계에서의 더미 패턴(dummy pattern)의 애플리케이션에 관한 것이다.
DFM은 제조 비용을 줄이기 위해 제조 공정을 촉진하는 관점에서 제품을 설계하거나 엔지니어링하는 공정을 의미한다. DFM을 사용하면 문제를 해결하는 데 가장 비용이 적게 드는 설계 단계에서 잠재적인 문제를 수정할 수 있다. 반도체 산업에서 DFM은 반도체 디바이스의 부품(part) 및 구성 요소(component) 간의 클리어런스(clearance) 및/또는 허용 오차(tolerance)를 정의하여 층(layer) 간의 인터페이스의 평탄도(flatness) 등을 보장한다.
DFM은 종종 집적 회로 및 인쇄 회로 기판과 같은 전자 시스템을 설계하기 위한 소프트웨어 도구를 포함하는 EDA 도구를 사용하여 구현된다. 이 도구는 칩 설계자가 전체 반도체 칩을 설계하고 분석하는 데 사용하는 설계 흐름(flow)에서 함께 동작한다(work). 최신 반도체 칩에는 수십억 개의 구성 요소가 포함될 수 있으므로 EDA 도구는 설계에 필수적이다.
일 예에서, 웨이퍼의 표면 평탄도를 개선하기 위한 더미 패턴 레이아웃(dummy pattern layout)을 설계하는 시스템이 제공된다. 상기 시스템은 적어도 하나의 프로세서 및 명령을 저장하는 적어도 하나의 메모리를 포함할 수 있다. 상기 명령은 상기 적어도 하나의 프로세서에 의해 실행될 때 상기 적어도 하나의 프로세서가 작동을 수행하게 할 수 있다. 상기 작동은 웨이퍼(wafer)의 기능 영역(functional region)에 대응하는 특징 패턴(feature pattern)을 식별하는 것을 포함할 수 있다. 상기 작동은 또한 상기 특징 패턴과 연관된 스크립트(script)에 기반하여 상기 특징 패턴의 속성(property)을 결정하는 것을 포함할 수 있다. 상기 작동은 상기 특징 패턴의 속성에 기반하여 더미 패턴 규칙(rule)을 결정하는 것을 더 포함할 수 있다. 또한, 상기 작동은 상기 더미 패턴 규칙에 기반하여 상기 특징 패턴을 둘러싸는 인접 에어리어(adjacent area)에 더미 유닛을 랩-필(wrap-fill)하는 것에 의해, 상기 웨이퍼의 빈 영역(vacant region)에 대응하는 더미 패턴을 생성하는 것을 포함할 수 있다.
다른 예에서, 웨이퍼의 표면 평탄도를 개선하기 위한 더미 패턴 레이아웃을 설계하기 위한 방법이 제공된다. 상기 방법은 상기 웨이퍼의 기능 영역에 대응하는 특징 패턴을 식별하는 단계를 포함할 수 있다. 상기 방법은 또한 상기 특징 패턴과 연관된 스크립트에 기반하여 상기 특징 패턴의 속성을 결정하는 단계를 포함할 수 있다. 상기 방법은 상기 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정하는 단계를 더 포함할 수 있다. 또한, 상기 방법은 더미 패턴 규칙에 기반하여 상기 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필하는 것에 의해, 상기 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성하는 단계를 포함할 수 있다.
추가 예에서, 컴퓨터가 판독 가능한 비 일시적 매체가 제공된다. 상기 컴퓨터가 판독 가능한 비 일시적 매체는 명령 세트를 저장할 수 있다. 상기 명령 세트는 전자 디바이스의 적어도 하나의 프로세서에 의해 실행될 때 상기 전자 디바이스가 웨이퍼의 표면 평탄도를 향상시키기 위한 더미 패턴 레이아웃을 설계하는 방법을 수행하게 할 수 있다. 상기 방법은 웨이퍼의 기능 영역에 대응하는 특징 패턴을 식별하는 단계를 포함할 수 있다. 상기 방법은 또한 상기 특징 패턴과 연관된 스크립트에 기반하여 상기 특징 패턴의 속성을 결정하는 단계를 포함할 수 있다. 상기 방법은 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정하는 단계를 더 포함할 수 있다. 또한, 상기 방법은 더미 패턴 규칙에 기반하여 상기 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필하는 것에 의해, 상기 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성하는 단계를 포함할 수 있다.
본 명세서에 통합되고 명세서의 일부를 형성하는 첨부 도면은 본 개시의 실시 예들을 예시하고, 설명과 함께, 본 개시의 원리를 설명하고 당업자가 본 개시를 만들고 사용할 수 있게 한다.
도 1a는 더미 패턴 설계의 종래 기술을 도시한다(illustrate).
도 1b는 표면 불균일성(surface nonuniformity)을 나타내는(exhibit) 예시적인 본딩(bonding) 인터페이스를 도시한다.
도 2는 본 개시의 일부 실시 예에 따른 더미 패턴 레이아웃을 설계하기 위한 예시적인 시스템의 블록도를 도시한다.
도 3은 본 개시의 다양한 실시 예에 따른, 도 2의 시스템을 사용하여 설계된 예시적인 더미 패턴 레이아웃을 도시한다.
도 4는 본 개시의 일부 실시 예에 따른 더미 패턴 레이아웃을 설계하기 위한 예시적인 방법의 흐름도이다.
본 개시의 실시 예는 첨부된 도면을 참조하여 설명될 것이다.
특정 구성 및 배열이 논의되었지만 이는 예시 목적으로만 수행됨을 이해해야 한다. 당업자는 본 개시의 사상 및 범위를 벗어나지 않고 다른 구성 및 배열이 사용될 수 있음을 인식할 것이다. 본 개시가 또한 다양한 다른 애플리케이션들에서 사용될 수 있다는 것은 당업자에게 명백할 것이다.
명세서에서 "일 실시 예(one embodiment)", "실시 예(an embodiment)", "일부(some) 실시 예" 등의 언급은, 설명된 실시 예가 특정한 특징(feature), 구조 또는 특성(characteristic)을 포함할 수 있음을 나타내지만, 모든 실시 예가 특정한 특징, 구조 또는 특성을 반드시 포함하는 것은 아니다. 더욱이, 그러한 문구는 반드시 동일한 실시 예를 지칭하는 것은 아니다. 또한, 특정 특징, 구조 또는 특성이 실시 예와 관련하여 기술될 때, 명시적으로 기술되었는지 여부에 관계없이 다른 실시 예와 관련하여 그러한 특징, 구조 또는 특성을 달성하는 것은 당업자의 지식 내에 있을 것이다.
일반적으로, 용어는 문맥에서의 사용으로부터 적어도 부분적으로 이해될 수 있다. 예를 들어, 여기서 사용된 용어 "하나 이상"은 문맥에 적어도 부분적으로 의존하여 임의의 특징, 구조 또는 특성을 단수 의미로 설명하는 데 사용될 수 있거나 또는 특징, 구조 또는 특성의 조합을 복수의 의미로 설명하는 데 사용될 수 있다. 유사하게, "하나(a)", "하나(an)" 또는 "상기(the)"와 같은 용어는 문맥에 적어도 부분적으로 의존하여 단수 용법을 전달하거나 복수 용법을 전달하는 것으로 이해될 수 있다. 또한, "기반(based on)"이라는 용어는 배타적인 팩터(factor) 세트를 전달하려는 것이 아니라는 의미로 이해될 수 있으며, 대신 적어도 부분적으로 문맥에 따라 반드시 명시적으로 설명되지 않은 추가 팩터의 존재를 허용할 수 있다.
본 개시에서 "상(on)", "위에(above)" 및 "위(over)"의 의미는 "상"이 어떤 것의 "바로 위(directly on)"를 의미할 뿐만 아니라 중간 특징이나 그 사이의 층(layer)을 가지는 어떤 것의 "상"의 의미를 포함하며, 그리고 "위에" 또는 "위"는 어떤 것의 "위에" 또는 "위"의 의미를 의미할 뿐만 아니라 중간 특징이나 그 사이의 층을 가지지 않는 어떤 것의 "위에" 또는 "위"(즉, 어떤 것의 바로 위)를 의미하는 것도 포함하도록, 가장 넓은 방식으로 해석되어야 한다는 것을 쉽게 이해해야 한다.
또한, "밑에(beneath)", "아래(below)", "하위(lower)", "위에(above)", "상위(upper)" 등과 같은 공간적으로 상대적인 용어는 여기서 설명의 편의를 위해, 도면에서 예시된 바와 같이 다른 엘리먼트(들) 또는 특징(들)에 대한 하나의 엘리먼트(들) 또는 특징(들)의 관계를 설명하는데 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 묘사된 방향에 추가하여, 사용 또는 작동중인 디바이스의 다른 방향을 포함하도록 의도된다. 장치는 달리 배향될 수 있고(90도 회전되거나 다른 배향으로), 여기에서 사용되는 공간적으로 상대적인 설명자는 그에 따라 유사하게 해석될 수 있다.
여기서 사용되는 용어 "기판(substrate)"은 후속 물질 층(material layer)이 추가되는 물질을 지칭한다. 기판 자체는 패턴화될 수 있다. 기판 위에 추가된 물질은 패턴화되거나 패턴화되지 않은 상태로 유지될 수 있다. 또한, 기판은 실리콘(silicon), 게르마늄(germanium), 갈륨 비소(gallium arsenide), 인듐 인화물(indium phosphide) 등과 같은 다양한 반도체 물질을 포함할 수 있다. 다르게는, 기판은 유리, 플라스틱 또는 사파이어 웨이퍼와 같은 전기 비 도전성 물질로 만들어 질 수 있다.
여기에서 사용된 바와 같이, "3D 메모리 디바이스"라는 용어는 메모리 셀 트랜지스터의 수직 배향 스트링(NAND 메모리 스트링과 같은 "메모리 스트링(memory string)"이라고도 함)이 측면 배향 기판(laterally-oriented substrate) 상에 있는 반도체 디바이스를 의미하므로, 메모리 스트링은 기판에 대해 수직 방향으로 확장된다. 여기에서 사용되는 용어 "수직/수직으로(vertical/vertically)"는 명목상 기판의 측면에 수직인 것을 의미한다.
3D 메모리 디바이스 제조에서, 화학 기계적 연마/평탄화(chemical mechanical polishing/planarization, CMP) 공정(process)은 종종 웨이퍼 표면에서 과도한 도전성 및/또는 유전체 물질(dielectric material)를 제거하거나 및/또는 웨이퍼 표면을 매끄럽게 하기(smooth) 위해 사용된다. CMP 공정은 일반적으로 웨이퍼보다 더 큰 직경인, 폴리싱 패드(polishing pad) 및 고정 링(retaining ring)과 함께 연마 및 침식성 화학 슬러리(abrasive and corrosive chemical slurry)를 사용한다. 패드와 웨이퍼는 동적 폴리싱 헤드에 의해 함께 눌러지고 고정 링에 의해 제자리에 고정된다. 동적 폴리싱 헤드는 상이한 회전축으로 회전하여 물질을 제거하고, 불규칙한 토폴로지(irregular topography)를 균일하게 하여 웨이퍼를 평평하거나 평면으로 만든다.
실제로 CMP 공정은 종종 과도한 연마로 인해 침식(erosion)(예: 유전체 물질에 대해) 및 디싱(dishing)(예: 금속에 대해)을 유발한다. 침식 및 디싱은 웨이퍼 표면 상에 불균일성(non-uniformity)을 유발한다. 하이브리드 본딩과 같은 반도체 디바이스(예: 3D 메모리 디바이스) 제조의 일부 공정은 본딩 인터페이스 상에서 높은 수준의 균일성을 요구하므로, 침식 및 디싱의 악영향에 민감하다. 현재 시스템은 이러한 공정에 필요한 높은 수준의 균일성을 충족하기가 어렵다. 따라서, 본딩 성능을 향상시키기 위해서, 침식 및 디싱으로 인한 부작용(adverse effect)을 줄이고 웨이퍼의 표면 평탄도를 향상시키는 것이 필요하다. 본 개시의 실시 예는 전술한 문제를 해결하는 시스템 및 방법을 제공한다.
침식 및 디싱 영향은 웨이퍼 상에 형성된 패턴의 균일성에 따라 달라진다. 패턴은 예를 들어 기판 상에 증착되거나 기판 위의 층 상에 형성된 도전성 및/또는 유전체 물질, 한 층 내에서 또는 여러 층에 걸쳐 반도체 구성 요소를 상호 연결하는 금속 트레이스(trace) 등을 포함하는 반도체 디바이스의 부품 또는 구성 요소의 배열을 말한다. 일부 기능 부품 또는 구성 요소는, 라인(line), 블록, 스폿(spot), 세그먼트 등과 같은 다양한 모양으로 구성된 패턴을 나타내는, 반도체 웨이퍼의 영역을 차지한다. 웨이퍼의 이러한 영역은 기능 영역이라고 할 수 있으며, 패턴은 특징 패턴(feature pattern) 또는 설계 패턴(design pattern)이라고 할 수 있으며, 이는 3D 메모리 디바이스와 같은 기본 반도체(underlying semiconductor) 디바이스와 관련된 설계 특징을 구현함을 지시한다.
특징 패턴은 일반적으로 웨이퍼의 전체 표면적(entire surface area)을 차지하지 않기 때문에, 특징 패턴의 결여(lack)로 인한 빈 영역(vacant region)은 불안정한 상태로 두면 큰 밀도(density) 변화를 일으켜, 침식 및 디싱 문제를 유발한다. 침식 및 디싱 영향을 줄이기 위해, 빈 영역에 더미 패턴을 추가하여 특징 패턴 간의 불연속성을 이어준다(bridge). 도 1a는 관련 기술에 따라 웨이퍼의 빈 영역에 더미 패턴을 추가하는 예시적인 방식을 도시한다. 도 1a를 참조하면, 웨이퍼 표면 상의 에어리어(area)(100)(예: 셀)는 제1 특징 패턴(110) 및 제2 특징 패턴(112)을 포함할 수 있다. 각각의 특징 패턴은 음영 블록(shadowed block)으로 표현되는 복수의 특징 유닛을 포함할 수 있다. 특징 유닛은 도전성 또는 유전체 물질의 일부, 금속 트레이스의 세그먼트 등과 같은 임의의 유형의 기능적 구성 요소를 포함할 수 있다. 특징 유닛은 도 1a에 도시된 정사각형 블록일 필요는 없지만 임의의 모양 및 크기를 취할 수 있다는 점에 유의한다. 더미 패턴은 도 1a에서 블랭크(blank) 블록을 사용하여 표현되며, 더미 패턴은 복수의 더미 유닛(130)(단순화를 위해 더미라고도 함)을 포함한다. 더미 유닛은 임의의 적절한 물질(예: 유전체, 도전성 등)로 만들어 질 수 있으며 임의의 모양과 크기를 가질 수 있다. 따라서, 도 1a에 도시된 사각형 블록이 예시적이며 예시 목적일 뿐이라는 것이 이해된다.
도 1a에 도시된 예시적인 방식에서, 더미 패턴은 코너 위치(corner location)(120) 또는 중심(center) 위치(122)와 같은 미리 결정된 시작(starting) 위치로부터 에어리어(100) 내에 더미 유닛을 채우는(fill) 것에 의해 형성된다. 예를 들어, 더미 유닛은 행별 또는 열별 방식(row-by-row or column-by-column fashion)으로, 에어리어(100)의 코너 위치(120)(예: 웨이퍼상의 셀)에서 시작하여 반대쪽 코너 위치를 향해 채워질 수 있다. 다른 예에서, 더미 유닛은 다시 행별 또는 열별 방식으로, 에어리어(100)의 중심 위치(122)에서 시작하여 경계를 향해 채워질 수 있다. 더미 유닛이 특징 패턴에 가까운 영역에 채워질 때, 더미 유닛과 특징 유닛 사이의 최소 클리어런스와 같은 특정 배치 제한(placement restriction)이 확인되고 충족될 수 있다. 더미 유닛은 특징 패턴의 위치를 고려하지 않고 고정된 위치부터 채워지기 때문에, 더미와 특징 패턴 사이에 큰 갭(gap)이 발생할 수 있다. 예를 들어, 도 1a의 더미 유닛이 열별로 코너 위치(120)부터 채워지고 왼쪽에서 오른쪽으로 진행되는 것으로 가정하면, 더미 유닛이 특징 패턴(112)에 도달할 때, 클리어런스(140)가 더미 유닛의 여분의 열(extra column)에 맞을(fit) 만큼 충분히 크지 않다고 결정된다. 그 결과, 더미 패턴과 특징 패턴(112) 사이에 갭(150)이 형성된다. 갭(150)으로 인한 밀도의 변화는 하이브리드 본딩과 같은 후기 단계 공정(later-stage process)에 적합하지 않은, CMP 공정 동안 침식 또는 디싱을 유발할 수 있다.
도 1b는 다수의(multiple) 갭(162)(즉, 급격한 밀도 변화를 야기함)을 갖는 예시적인 더미 패턴 레이아웃(160) 및 AFM(atomic force microscopy)을 통해 획득된 결과적인 본딩 인터페이스(170)를 도시한다. 도 1b에 도시된 바와 같이, 본딩 인터페이스(170)는 본딩 인터페이스 전체에 걸쳐 밝은 스폿(특징 유닛(180)에 대응)과 다크(dark) 스폿(더미 유닛(190)에 대응) 사이의 높은 콘트라스트(contrast)로 지시되는 비교적 높은 정도의 불균일성을 나타낸다. 하이브리드 본딩과 같은 높은 수준의 균일성을 요구하는 반도체 제조 공정에서, 본딩 인터페이스(170)를 사용하면 만족스러운 본딩 성능을 달성하지 못할 수 있다.
도 1a 및 도 1b에 도시된 갑작스러운 밀도 변화를 완화하기 위해, 본 개시의 실시 예는 밀도 변화가 CMP가 적용되는 전체 웨이퍼 영역(예: 셀 또는 칩 영역)에 걸쳐 점진적으로 이루어지도록, 제어 가능한 밀도 변화도(density gradient)를 갖는 더미 패턴 레이아웃을 설계하는 시스템 및 방법을 제공한다. 예시적인 시스템(200)의 블록도가 도 2에 도시되어 있다. 시스템(200)에 의해 생성된 예시적인 더미 패턴 레이아웃이 도 3에 도시된다. 도 2에 도시된 것과 같은 더미 패턴을 설계하기 위한 예시적인 방법(400)의 흐름도가 도 4에 도시되어 있다. 다음에서, 도 2 내지 도 4를 함께 설명한다. 방법(400)에 도시된 작동은 완전하지 않으며 다른 작동이 예시된 작동 중 임의의 전, 후 또는 사이에 수행될 수 있다는 것이 이해된다. 또한, 일부 작동은 동시에 수행될 수도 있고, 도 4에 도시된 것과 다른 순서로 수행될 수도 있다. 여기에 개시된 시스템 및 방법은 제조용 설계(design-for-manufacturing, DFM), 전자 설계 자동화(electronic design automation, EDA), 반도체 공정 시뮬레이션, 최적화 및/또는 비준(validation)과 같은 웨이퍼 표면 평탄화를 포함하는 모든 반도체 설계 애플리케이션에 적용 가능하다.
도 2를 참조하면, 시스템(200)은 적어도 하나의 프로세서에 의해 실행될 때 시스템(200)이 여기에 개시된 다양한 작동을 수행하게 할 수 있는 하나 이상의 컴퓨터 명령을 저장하도록 구성된 메모리(230)를 포함할 수 있다. 메모리(230)는 휘발성 또는 비 휘발성, 자기, 반도체 기반, 테이프 기반, 광학, 탈부착식(removable), 비 탈부착식 또는 다른 유형의 저장 디바이스 또는 ROM, 플래시 메모리, 동적 RAM 및 정적 RAM을 포함하지만 이에 제한되지 않는, 실재하는(tangible) 컴퓨터가 판독 가능한 매체와 같은, 임의의 비 일시적 유형의 대용량 저장 장치(storage)일 수 있다.
시스템(200)은 메모리(230)에 저장된 명령에 따라 작동을 수행하도록 구성된 프로세서(210)를 더 포함할 수 있다. 프로세서(210)는 임의의 적절한 유형의 범용 또는 특수 목적 마이크로 프로세서, 디지털 신호 프로세서, 또는 마이크로 컨트롤러를 포함할 수 있다. 프로세서(210)는 하나 이상의 특정 작동을 수행하기 위한 별도의 프로세서 모듈로서 구성될 수 있다. 다르게는, 프로세서(210)는 여기에 개시된 하나 이상의 특정 작동과 관련되지 않은 다른 작동을 수행하기 위한 공유 프로세서 모듈로서 구성될 수 있다. 도 2에 도시된 바와 같이, 프로세서(210)는 특징 패턴 분석기(analyzer)(212), 더미 패턴 생성기(214), 더미 패턴 검증(verification) 유닛(216) 등과 같은 다수의 모듈을 포함할 수 있다. 이들 모듈(및 임의의 대응하는 서브 모듈 또는 서브 유닛)은 다른 구성 요소와 함께 사용하거나 프로그램의 일부를 실행하도록 설계된 프로세서(210)의 하드웨어 유닛(예: 집적 회로의 부분)일 수 있다. 비록 도 2가 하나의 프로세서(210) 내에 있는 모듈(212-216)을 모두 도시하고 있지만, 이들 모듈은 서로 가까이 또는 원격으로 위치하는 다수의 프로세서 사이에 분산될 수 있다는 것이 고려된다.
시스템(200)은 또한 통신 인터페이스(220)를 포함할 수 있다. 통신 인터페이스(220)는 통합 서비스 디지털 네트워크(integrated services digital network, ISDN) 카드, 케이블 모뎀, 위성 모뎀 또는 데이터 통신 연결을 제공하는 모뎀과 같은 임의의 유형의 통신 어댑터를 포함할 수 있다. 다른 예로서, 통신 인터페이스(220)는 호환 가능한 근거리 통신망(local area network, LAN)에 데이터 통신 연결을 제공하기 위해 LAN 카드를 포함할 수 있다. 무선 링크는 또한 통신 인터페이스(220)에 의해 구현될 수 있다. 이러한 구현에서, 통신 인터페이스(220)는 네트워크를 통해 다양한 유형의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기 신호, 전자기 신호 또는 광학 신호를 송수신할 수 있다. 네트워크는 일반적으로 셀룰러 통신 네트워크, 무선 근거리 통신망(Wireless Local Area Network WLAN), 광역 통신망(Wide Area Network, WAN) 등을 포함할 수 있다. 일부 실시 예에서, 통신 인터페이스(220)는 또한 디스플레이 인터페이스(예: HDMI, DVI, VGA 등), 오디오 인터페이스, 키보드 인터페이스, 마우스 인터페이스, 프린터 인터페이스, 터치 스크린 인터페이스 등과 같은 입력/출력 인터페이스를 포함할 수 있다.
통신 인터페이스(220)는 시스템(200)과 하나 이상의 다른 시스템/디바이스 사이에서 정보를 교환하도록 구성될 수 있다. 예를 들어, 통신 인터페이스(220)는 마스크(mask) 정보, 웨이퍼 정보, 특징 패턴 정보 등과 같은 반도체 디바이스 설계 및/또는 제조에 관한 정보를 저장할 수 있는 데이터베이스(240)와 통신할 수 있다. 일부 실시 예에서, 프로세서(210)는 통신 인터페이스(220)를 통해 데이터베이스(240)에 저장된 정보를 수신할 수 있다. 일부 실시 예에서, 프로세서(210)에 의해 생성된 더미 패턴은 저장을 위해 데이터베이스(240)로 전송될 수 있다.
다른 예에서, 디스플레이(250)는 통신 인터페이스(220)를 통해 시스템(200)에 결합될(coupled) 수 있다. 디스플레이(250)는 액정 디스플레이(Liquid Crystal Display, LCD), 발광 다이오드 디스플레이(Light Emitting Diode Display, LED), 플라즈마 디스플레이 또는 기타 유형의 디스플레이를 포함할 수 있으며, 사용자 입력 및 데이터 묘사를 위해 디스플레이에 제시되는 그래픽 사용자 인터페이스(Graphical User Interface, GUI)를 제공할 수 있다. 디스플레이는 플라스틱 또는 유리와 같은 다양한 유형의 물질을 포함할 수 있으며, 사용자로부터 입력을 수신하기 위해 터치에 민감할 수 있다. 예를 들어, 디스플레이는 Gorilla GlassTM와 같이 실질적으로 단단하거나(rigid) Willow GlassTM와 같이 실질적으로 유연한(pliable), 터치 감응 물질(touch-sensitive material)을 포함할 수 있다. 일부 실시 예에서, 특징 패턴 및/또는 더미 패턴에 관한 정보가 디스플레이(250) 상에 디스플레이될 수 있다. 일부 실시 예에서, 경고(alert)가 프로세서(210)에 의해 수행된 하나 이상의 작동이 완료된 후에 트리거되고 디스플레이(250)에 도시될 수 있다.
추가 예에서, 단말 디바이스(260)는 통신 인터페이스(220)를 통해 시스템(200)에 결합될 수 있다. 단말 디바이스(260)는 데스크탑 컴퓨터, 워크스테이션, 랩톱 컴퓨터, 모바일 폰, 태블릿, 웨어러블 디바이스, 또는 계산 작업을 수행하도록 구성된 임의의 다른 유형의 디바이스를 포함할 수 있다. 일부 실시 예에서, 사용자는 예를 들어 더미 패턴을 설계, 분석 또는 생성하는 것과 관련된 작동을 시작, 모니터링 또는 종료하기 위해 시스템(200)을 제어하는 단말 디바이스(260)를 사용할 수 있다. 일부 실시 예에서, 단말 디바이스(260)는 시스템(200)에 의해 생성된 더미 패턴 레이아웃을 수신할 수 있다. 일부 실시 예에서, 단말 디바이스(260)는 프로세서(210)에 의해 수행되는 작동의 상태를 지시하는 통지 또는 경고를 수신할 수 있다. 예를 들어, 생성된 더미 패턴 레이아웃이 비준 공정을 통과했음을 지시하는 신호는 통신 인터페이스(220)를 통해 단말 디바이스(260)로 통신될 수 있다. 다른 예에서, 생성된 더미 패턴이 비준 공정을 실패했음을 지시하는 신호가 단말 디바이스(260)로 통신될 수 있고, 단말 디바이스(260)는 대안 레이아웃을 생성하기 위해 다른 더미 패턴 설계 작업을 시작할 수 있다.
데이터베이스(240), 디스플레이(250), 및/또는 단말 디바이스(260) 중 하나 이상은 시스템(200)의 일부일 수 있고, 시스템(200)과 함께 배치되거나 시스템(200)에 대해 원격으로 배치될 수 있으며, 네트워크 또는 적절한 유형의 통신 링크를 통해 시스템(200)과 통신할 수 있다.
도 4를 참조하면, 방법(400)은 프로세서(210)에 의해 수행될 수 있다. 예를 들어, 방법(400)을 구현하는 명령은 메모리(230)에 저장되고 프로세서(210)에 의해 실행될 수 있다. 방법(400)의 임의의 단계는 프로세서(210)에 의해 단독으로 또는 다중 프로세서에 의해 공동으로 수행될 수 있다는 것이 고려된다. 다음에서, 프로세서(210)는 방법(400)의 단계를 설명하는 예로서 사용된다. 방법(400)은 아래에 설명된 바와 같이 여러 단계를 포함할 수 있다. 단계의 일부는 여기에 제공된 실시 예를 수행하기 위해 선택 사항일 수 있음을 이해해야 한다. 또한, 일부 단계는 동시에 또는 도 4에 도시된 것과 다른 순서로 수행될 수 있다.
단계(402)에서, 프로세서(210)는 웨이퍼의 기능 영역에 대응하는 특징 패턴을 식별할 수 있다. 예를 들어, 프로세서(210)는 예를 들어 통신 인터페이스(220)를 통해 데이터베이스(240)로부터 웨이퍼의 에어리어(예: 셀)에서의 특징 패턴 레이아웃 정보를 수신할 수 있다. 특징 패턴(310 및 320)을 포함하는 예시적인 에어리어(300)가 도 3에 도시되어 있다. 에어리어(300)는 반도체 디바이스의 기능적 구성 요소를 포함하는 셀 또는 영역일 수 있다. 일부 실시 예에서, 특징 패턴 레이아웃 정보는 전자 설계 자동화(electronic design automation, EDA) 파일과 같은 적절한 형식의 전자 파일에 포함될 수 있다. 특징 패턴 레이아웃 정보를 수신한 후, 특징 패턴 분석기(212)는 정보를 분석하여 도 3에 도시된 특징 패턴(310 및 320)과 같은 하나 이상의 특징 패턴을 식별할 수 있다. 예를 들어, 특징 패턴 분석기(212)는 특징 패턴을 형성하기 위해 전자 파일의 내용, 물리적 레이아웃 정보 및/또는 마스크 정보에 기반하여 특징 패턴을 식별할 수 있다.
하나 이상의 특징 패턴이 특징 패턴 분석기(212)에 의해 식별된 후에, 방법(400)은 프로세서(210)가 특징 패턴의 속성을 결정할 수 있는 단계(404)로 진행한다. 예를 들어, 특징 패턴 분석기(212)는 특징 패턴을 정의하는 EDA 스크립트와 같은 특징 패턴과 연관된 스크립트에 기반하여 특징 패턴의 속성을 결정할 수 있다. 일부 실시 예에서, 특징 패턴 분석기(212)는 EDA 스크립트를 분석하여, 특징 유닛의 크기, 특징 유닛 간의 피치(pitch)(예: 거리 또는 클리어런스), 특징 패턴의 밀도 등과 같은 속성을 결정할 수 있다. 도 3에 도시된 바와 같이, 특징 패턴 분석기(212)는 특징 패턴(320)을 형성하는 하나 이상의 특징 유닛의 크기(322)를 결정할 수 있다. 다른 예에서, 특징 패턴 분석기(212)는 또한 인접한 특징 유닛들 사이의 피치(324)를 결정할 수 있다. 추가 예에서, 특징 패턴 분석기(212)는 특징 패턴(320)의 밀도(예: 단위 면적당 특징 유닛의 수, 단위 길이 당 특징 유닛의 수, 특징 유닛의 크기 및 피치 등과 관련)를 결정할 수 있다.
단계(406)에서, 프로세서(210)는 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정할 수 있다. 예를 들어, 더미 패턴 생성기(214)는 특징 패턴 분석기(212)에 의해 결정된 하나 이상의 속성에 기반하여 더미 패턴 규칙을 결정할 수 있다. 일부 실시 예에서, 더미 패턴 규칙은 더미 패턴의 밀도를 포함할 수 있다. 예를 들어, 크기(322) 및/또는 피치(324)에 기반하여, 더미 패턴 생성기(214)는 특징 패턴의 밀도(예: 단위 면적당 특징 유닛의 수, 단위 길이 당 특징 유닛의 수, 특징 유닛의 크기 및 피치 등과 관련)를 결정할 수 있다. 다른 예에서, 특징 패턴의 밀도는 특징 패턴 분석기(212)에 의해 결정되고 더미 패턴 생성기(214)에 제공될 수 있다. 어느 경우이든, 더미 패턴 생성기(214)는 특징 패턴의 밀도에 기반하여 에어리어(300)의 빈 영역에 채워질 더미 패턴의 밀도를 결정할 수 있다. 일부 실시 예에서, 더미 패턴의 밀도는 점진적인 변화(만일 있다면)를 보장하기 위해 특징 패턴의 밀도와 실질적으로 동일하거나 그에 근접한 것으로 결정될 수 있거나, 또는 특징 패턴으로부터 더미 패턴까지의 밀도의 실질적인 변화가 없는 것으로 결정될 수 있다. 예를 들어, 더미 패턴의 밀도와 특징 패턴의 밀도 사이의 차이는 미리 정해진 마진(margin)(예: 20% 미만, 15% 미만, 10% 미만, 5% 미만, 2% 미만, 1% 미만 등) 내로 제어될 수 있다. 이러한 방식으로, 크거나 갑작스러운 밀도 변화를 완화하거나 심지어 피할 수 있다.
단계(408)에서, 더미 패턴 생성기(214)는 더미 패턴 규칙에 기반하여 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필(wrap-filling)하는 것에 의해 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성할 수 있다. 예를 들어, 도 3을 참조하면, 더미 유닛(340)이 특징 패턴(320)의 전체 둘레(entire perimeter)를 랩하도록(wrap), 더미 유닛(340)(교차된 음영 라인을 갖는 음영 블록에 의해 표현됨)이 특징 패턴(320)에 인접한 빈 영역에서 특징 패턴(320) 주위에 랩-필될 수 있다. 여기에서 사용된 바와 같이, "랩-필링(wrap-filling)"은 더미 패턴 규칙에 따라 전체 외부 경계에 걸쳐 특징 패턴의 외부 경계 바로 옆에 더미 유닛을 배치하는 더미 유닛 충전 기술을 지칭한다. 일부 실시 예에서, 더미 유닛의 단일 루프(single loop)가 특징 패턴 주위를 랩하는데 사용될 수 있다. 다른 실시 예에서, 더미 유닛 루프의 다중 층이 특징 패턴 주위를 랩하는데 사용될 수 있다. 도 1a에 도시된 필링 방식과 비교하여, "랩-필링" 기술은 특징 패턴에 근접한 더미 유닛 필링 처리를 시작하므로, 특징 패턴에 대한 적절한 클리어런스와 패턴의 매끄러운 연속성을 보장한다(예: 특징 패턴에서 더미 패턴으로의 전환(transition)시). 예를 들어, 랩-필된 더미 유닛(340)의 크기(측면 길이(side length)(342)로 표현됨)는 특징 패턴(320)에서 특징 유닛의 크기(측면 길이(322)로 표현됨)와 실질적으로 동일하거나 근접하게 가깝게 유지될 수 있다. 다른 예에서, 더미 유닛(340)의 피치(344)는 특징 패턴(320)에서 특징 유닛의 피치(324)와 실질적으로 동일하거나 근접하게 유지될 수 있다. 이러한 방식으로, 랩-필된 더미 유닛(340)은 일관된 크기 및/또는 피치로 특징 패턴(320)의 외부 경계 상의 특징 유닛의 확장(extension)으로 작용하여, 특징 패턴(320)에서 랩-필된 더미 패턴으로의 상대적으로 일정한 밀도 전환을 유지한다.
일부 실시 예에서, 다수의 특징 패턴은 웨이퍼의 다른 나머지 빈 영역을 채우기 전에 먼저 랩-필될 수 있다. 예를 들어, 더미 유닛(340)은 특징 패턴(320)을 랩-필할 수 있다. 유사하게, 더미 유닛(330)은 특징 패턴(310)을 랩-필할 수 있다. 모든 특징 패턴이 더미 유닛으로 랩-필된 후, 나머지 빈 영역은 추가 더미 유닛으로 채워져 전체 더미 패턴을 형성할 수 있다.
일부 실시 예에서, 다수의 특징 패턴은 DFM(design-for-manufacturing) 속성(예: 특징 유닛 크기, 피치, 밀도 등)에 따라 특징 패턴 분석기(212)에 의해 상이한 그룹으로 분류될 수 있다. 각 그룹은 더미 패턴 생성기(214)에 의해 결정된 더미 패턴 규칙과 연관될 수 있다. 더미 유닛의 랩-필링은 대응하는 더미 패턴 규칙에 따라 그룹별로 수행될 수 있다. 모든 특징 패턴 그룹이 더미 유닛으로 랩-필된 후, 나머지 빈 영역은 추가 더미 유닛으로 채워질 수 있다.
단계(410)에서, 프로세서(210)는 두 특징 패턴 사이의 거리 및 두 특징 패턴 사이의 밀도 차이에 기반하여 두 특징 패턴 사이의 밀도 변화도를 결정할 수 있다. 예를 들어, 더미 패턴 생성기(214)는 인접 에어리어(예: 랩-필이 수행되는 더미 유닛(340)의 에어리어)으로부터, 특징 패턴(320)에서 더 멀리 떨어진 확장된 에어리어(extended area)(예: 더미 유닛(350))로의 더미 패턴의 밀도 변화를 지시하는 밀도 변화도를 결정할 수 있다. 일부 실시 예에서, 밀도 변화도는 인접한 더미 유닛 사이의 피치 변화에 의해 표현될 수 있다. 도 3에 도시된 바와 같이, 예시적인 밀도 변화도가 그래프(370)에 도시되고, 여기서 수직 축은 밀도 D(예: 인접한 더미 유닛 사이의 피치 측면에서)를 나타내고, 수평 축 x는 특징 패턴(310 및 320) 사이의 거리를 나타낸다. 스템(stem)(344', 352', 354', 356')의 높이는 각각 대응하는 피치(344, 352, 354, 356)의 길이를 지시한다. 도 3에 도시된 바와 같이, 인접 에어리어의 피치(344)는 특징 패턴(320)의 피치와 실질적으로 동일하거나 근접할 수 있다. 특징 패턴(310, 320) 사이의 모든 더미 유닛에 동일한 피치를 적용하면, 두 특징 패턴 사이의 거리 내에 정수 개수의 더미 유닛이 맞지(fit) 않은 경우 더미 유닛 사이에 갭이 생길 수 있다. 이러한 상황을 피하기 위해 그래프(370)에서 344', 352' 및 354'로 도시된 바와 같이, 피치가 인접 에어리어에서 확장된 에어리어로 점차 증가될 수 있다. 피치는 또한 그래프(370)에서 354' 및 356'로 도시된 바와 같이, 더미 유닛이 특징 패턴(310)에 접근함에 따라 점차적으로 감소할 수 있다. 이러한 방식으로, 밀도의 점진적인 변화를 달성하여 밀도의 급격한 변화를 방지할 수 있다.
일부 실시 예에서, 특징 패턴(310 및 320)의 밀도는 상이할 수 있다. 이때, 밀도 변화도는 특징 패턴(310)의 제1 밀도에서 특징 패턴(320)의 제2 밀도로 점진적으로 변화하도록 결정될 수 있다. 예를 들어, 특징 패턴(310)의 피치(밀도 지시자(indicator))가 40(상대 값만을 고려하여 유닛없음(unitless))이고 특징 패턴(320)의 피치가 80이라고 가정한다. 도 3에서 더미 유닛(350)에 의해 도시된 바와 같이, 2개의 특징 패턴 사이의 거리(랩-필된 인접 에어리어보다 작음)가 2개의 더미 유닛에 맞을(fit) 수 있다고 가정한다. 그 후, 3개의 피치(356, 354, 352)를 50, 60, 70으로 설정하여, 피치를 40(특징 패턴(310)의 피치)에서 80(피치 패턴(320)의 피치)으로 점진적으로 변화시킬 수 있다. 물론, 점진적인 밀도 변화를 얻을 수 있는 모든 방법을 사용할 수 있다.
일부 실시 예에서, 밀도 변화도는 더미 유닛의 크기를 변경하는 것에 의해 제어될 수도 있다. 예를 들어, 밀도 변화도의 미세한 제어를 달성하기 위해, 차이 피치(difference pitches) 대신 또는 이와 함께 차이 크기(difference sizes)의 더미 유닛을 사용할 수 있다.
단계(412)에서, 프로세서(210)는 밀도 변화도에 기반하여 확장된 에어리어의 더미 유닛을 채울 수 있다. 예를 들어, 더미 패턴 생성기(214)는 밀도 변화도(예: 356, 354, 352 등)에 따라 특징 패턴(310, 320) 사이의 나머지 빈 영역에 더미 유닛(350)을 채울 수 있다. 일부 실시 예에서, 모든 나머지 빈 영역은 전체 빈 영역에 걸쳐 제어 가능한 밀도 분포를 갖는 더미 유닛으로 채워질 수 있다. 빈 영역에서 더미 유닛의 "채우기(filling)"는 더미 유닛의 위치, 모양, 크기 또는 기타 속성이 결정되는 설계 단계를 의미할 수 있음에 유의한다. 그러나, 물리적 더미 유닛은 반도체 웨이퍼 상에 형성되거나 형성되지 않을 수 있다. 그러나, 개시된 시스템 및 방법에 의해 생성된 설계에 기반하여 배열된 더미 패턴 레이아웃을 갖는 반도체 웨이퍼도 본 개시의 범위 내에 있다.
단계(414)에서, 프로세서(210)는 더미 패턴 레이아웃을 검증할 수 있다. 예를 들어, 더미 패턴 검증 유닛(216)은 더미 패턴 생성기(214)에 의해 생성된 더미 패턴 레이아웃의 밀도 및 토폴로지를 확인하기 위해 CMP 모델과 같은 반도체 제조 공정 시뮬레이터를 포함할 수 있다. 더미 패턴 레이아웃이 검증 공정을 통과하면, 프로세서(210)는 더미 패턴 레이아웃 설계를 메모리(230) 및/또는 데이터베이스(240)에 저장할 수 있다. 일부 실시 예에서, 프로세서(210)는 단말 디바이스(260)에 통지하거나 및/또는 디스플레이(250) 상에 통지를 디스플레이하기 위해 경보를 트리거할 수 있다. 반면, 더미 패턴 레이아웃이 검증 공정을 통과하지 못하면, 신규 더미 패턴 설계 주기(design cycle)가 시작되어 신규 설계를 생성하거나 기존 설계를 개선 또는 최적화할 수 있다.
본 개시의 다른 측면은 실행될 때 하나 이상의 프로세서가 전술한 바와 같이 방법을 수행하게 하는 명령을 저장하는, 컴퓨터가 판독 가능한 비 일시적 매체에 관한 것이다. 컴퓨터가 판독 가능한 매체는 휘발성 또는 비 휘발성, 자기, 반도체 기반, 테이프 기반, 광학, 탈부착식, 비 탈부착시, 또는 다른 유형의 컴퓨터가 판독 가능한 매체 또는 컴퓨터가 판독 가능한 저장 디바이스를 포함할 수 있다. 예를 들어, 컴퓨터가 판독 가능한 매체는 개시된 바와 같이 그에 저장된 컴퓨터 명령을 갖는 저장 디바이스 또는 메모리 모듈일 수 있다. 일부 실시 예에서, 컴퓨터가 판독 가능한 매체는 컴퓨터 명령이 저장된 디스크 또는 플래시 드라이브일 수 있다.
여기서 개시된 시스템 및 방법은 CMP 처리로 인한 침식 및 디싱 효과를 감소시켜 반도체 디바이스를 호스팅하는 웨이퍼의 표면 평탄도를 개선한다. 예를 들어, 일부 실시 예는 30Å 미만의 단일 홀 디싱(single hole dishing)을 달성할 수 있으며, 하이브리드 본딩과 같은 이후 공정에서 인터페이스 토폴로지에 대한 엄격한 요건을 충족한다. 랩-필링을 통해 패턴 밀도의 형상 변화를 줄이거나 제거하고 밀도 변화도에 제한을 가하는 것에 의해, 개시된 시스템 및 방법은 CMP 처리 후 웨이퍼 표면의 균일성을 개선하여 반도체 디바이스 제조에서 결합 성능을 개선할 수 있다.
본 개시의 일 측면에 따르면, 웨이퍼의 표면 평탄도를 개선하기 위한 더미 패턴 레이아웃을 설계하는 시스템이 제공된다. 시스템은 적어도 하나의 프로세서와 적어도 하나의 메모리를 포함한다. 메모리는 적어도 하나의 프로세서에 의해 실행될 때 적어도 하나의 프로세서가 작동을 수행하게 하는 명령을 저장한다. 상기 작동은 웨이퍼의 기능 영역에 대응하는 특징 패턴을 식별하는 것을 포함한다. 상기 작동은 또한 특징 패턴과 연관된 스크립트에 기반하여 특징 패턴의 속성을 결정하는 것을 포함한다. 상기 작동은 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정하는 것을 더 포함한다. 또한, 상기 작동은 더미 패턴 규칙에 기반하여 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필하는 것에 의해, 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성하는 것을 포함한다.
일부 실시 예에서, 더미 패턴 규칙은 더미 패턴의 밀도를 포함한다.
일부 실시 예에서, 상기 작동은 특징 패턴의 밀도에 기반하여 더미 패턴의 밀도를 결정하는 것을 포함한다. 더미 패턴의 밀도와 특징 패턴의 밀도의 차이는 미리 정해진 마진 내에 있다.
일부 실시 예에서, 상기 작동은 더미 패턴 규칙에 기반하여 인접 에어리어로부터으로부터, 특징 패턴에서 더 멀리 떨어진 확장된 에어리어로 더미 패턴을 확장하는 것을 포함한다.
일부 실시 예에서, 더미 패턴 규칙은 인접 에어리어에서 확장된 에어리어로의 더미 패턴의 밀도 변화를 지시하는 밀도 변화도를 포함한다.
일부 실시 예에서, 상기 작동은 특징 패턴과 제2 특징 패턴 사이의 거리 및 특징 패턴과 제2 특징 패턴 사이의 밀도 차이에 기반하여 밀도 변화도를 결정하는 것을 포함한다.
일부 실시 예에서, 상기 작동은 밀도 변화도에 기반하여 확장된 에어리어에 더미 유닛을 채우는 것을 포함한다.
일부 실시 예에서, 특징 패턴의 특성은 특징 패턴을 형성하는 기능 유닛의 크기 또는 피치 중 적어도 하나를 포함한다.
일부 실시 예에서, 상기 작동은 반도체 제조 공정 시뮬레이터를 사용하여 더미 패턴의 레이아웃을 검증하는 것을 포함한다.
본 개시의 다른 측면에 따르면, 웨이퍼의 표면 평탄도를 개선하기 위한 더미 패턴 레이아웃을 설계하는 방법이 제공된다. 상기 방법은 웨이퍼의 기능 영역에 대응하는 특징 패턴을 식별하는 단계를 포함한다. 상기 방법은 또한 특징 패턴과 연관된 스크립트에 기반하여 특징 패턴의 속성을 결정하는 단계를 포함한다. 상기 방법은 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정하는 단계를 더 포함한다. 더욱이, 상기 방법은 더미 패턴 규칙에 기반하여 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필하는 것에 의해, 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성하는 단계를 포함한다.
일부 실시 예에서, 더미 패턴 규칙은 더미 패턴의 밀도를 포함한다.
일부 실시 예에서, 상기 방법은 특징 패턴의 밀도에 기반하여 더미 패턴의 밀도를 결정하는 단계를 포함한다. 더미 패턴의 밀도와 특징 패턴의 밀도의 차이는 미리 정해진 마진 내에 있다.
일부 실시 예에서, 상기 방법은 더미 패턴 규칙에 기반하여 인접 에어리어로부터으로부터, 특징 패턴에서 더 멀리 떨어진 확장된 에어리어로 더미 패턴을 확장하는 단계를 포함한다.
일부 실시 예에서, 더미 패턴 규칙은 인접 에어리어에서 확장된 에어리어로의 더미 패턴의 밀도 변화를 지시하는 밀도 변화도를 포함한다.
일부 실시 예에서, 상기 방법은 특징 패턴과 제2 특징 패턴 사이의 거리 및 특징 패턴과 제2 특징 패턴 사이의 밀도 차이에 기반하여 밀도 변화도를 결정하는 단계를 포함한다.
일부 실시 예에서, 상기 방법은 밀도 변화도에 기반하여 확장된 에어리어에 더미 유닛을 채우는 단계를 포함한다.
일부 실시 예에서, 특징 패턴의 특성은 특징 패턴을 형성하는 기능 유닛의 크기 또는 피치 중 적어도 하나를 포함한다.
일부 실시 예에서, 상기 방법은 반도체 제조 공정 시뮬레이터를 사용하여 더미 패턴의 레이아웃을 검증하는 단계를 포함한다.
본 개시의 또 다른 측면에 따르면, 컴퓨터가 판독 가능한 비 일시적 매체가 제공된다. 컴퓨터가 판독 가능한 비 일시적 매체는 명령 세트를 저장하며, 명령 세트는 전자 디바이스의 적어도 하나의 프로세서에 의해 실행될 때, 전자 디바이스가 웨이퍼의 표면 평탄도를 개선하기 위한 더미 패턴 레이아웃을 설계하는 방법을 수행하게 한다. 상기 방법은 웨이퍼의 기능 영역에 대응하는 특징 패턴을 식별하는 단계를 포함한다. 상기 방법은 또한 특징 패턴과 연관된 스크립트에 기반하여 특징 패턴의 속성을 결정하는 단계를 포함한다. 상기 방법은 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정하는 단계를 더 포함한다. 더욱이, 상기 방법은 더미 패턴 규칙에 기반하여 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필하는 것에 의해, 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성하는 단계를 포함한다.
일부 실시 예에서, 더미 패턴 규칙은 더미 패턴의 밀도를 포함한다.
일부 실시 예에서, 상기 방법은 특징 패턴의 밀도에 기반하여 더미 패턴의 밀도를 결정하는 단계를 포함한다. 더미 패턴의 밀도와 특징 패턴의 밀도의 차이는 미리 정해진 마진 내에 있다.
일부 실시 예에서, 상기 방법은 더미 패턴 규칙에 기반하여 인접 에어리어로부터으로부터, 특징 패턴에서 더 멀리 떨어진 확장된 에어리어로 더미 패턴을 확장하는 단계를 포함한다.
일부 실시 예에서, 더미 패턴 규칙은 인접 에어리어에서 확장된 에어리어로의 더미 패턴의 밀도 변화를 지시하는 밀도 변화도를 포함한다.
일부 실시 예에서, 상기 방법은 특징 패턴과 제2 특징 패턴 사이의 거리 및 특징 패턴과 제2 특징 패턴 사이의 밀도 차이에 기반하여 밀도 변화도를 결정하는 단계를 포함한다.
일부 실시 예에서, 상기 방법은 밀도 변화도에 기반하여 확장된 에어리어에 더미 유닛을 채우는 단계를 포함한다.
일부 실시 예에서, 특징 패턴의 특성은 특징 패턴을 형성하는 기능 유닛의 크기 또는 피치 중 적어도 하나를 포함한다.
일부 실시 예에서, 상기 방법은 반도체 제조 공정 시뮬레이터를 사용하여 더미 패턴의 레이아웃을 검증하는 단계를 포함한다.
특정 실시 예에 대한 전술한 설명은 다른 사람들이 본 개시의 일반적인 개념으로부터 벗어나지 않고 과도한 실험없이, 특정 실시 예와 같은 다양한 애플리케이션에 대해 본 기술의 기술 내에서 지식을 적용하고, 쉽게 수정 및/또는 적응할 수 있는 본 개시의 일반적인 특성을 드러낼 것이다. 따라서, 이러한 적응 및 수정은 여기에서 제시된 교시 및 안내에 기반하여 개시된 실시 예의 균등물의 의미 및 범위 내에 있도록 의도된다. 본 명세서의 어법 또는 용어는 설명을 위한 것이지 제한을 위한 것이 아니라, 본 명세서의 용어 또는 어법이 교시 및 지침의 관점에서 숙련된 기술자에 의해 해석되어야 함을 이해해야 한다.
본 개시의 실시 예들은 지정된 기능 및 그 관계의 구현을 예시하는 기능적 빌딩(building) 블록의 도움으로 위에서 설명되었다. 이러한 기능적 빌딩 블록의 경계는 설명의 편의를 위해 여기에서 임의로 정의되었다. 지정된 기능과 그 관계가 적절하게 수행되는 한 대체 경계를 정의할 수 있다.
요약 및 요약 섹션은 발명자(들)에 의해 고려되는 바와 같이 본 개시의 모든 예시적인 실시 예가 아닌 하나 이상을 제시할 수 있으며, 따라서 본 개시 및 첨부된 청구 범위를 어떤 식으로든 제한하려는 의도는 아니다.
본 개시의 폭 및 범위는 전술한 예시적인 실시 예 중 어느 것에 의해 제한되어서는 안되며, 다음의 청구 범위 및 그 균등물에 따라서만 정의되어야 한다.

Claims (27)

  1. 웨이퍼(wafer)의 표면 평탄도(surface flatness)를 개선하기 위한 더미 패턴 레이아웃(dummy pattern layout)을 설계하는 시스템으로서,
    적어도 하나의 프로세서; 및
    명령을 저장하는 적어도 하나의 메모리
    를 포함하고,
    상기 명령은 상기 적어도 하나의 프로세서에 의해 실행될 때 상기 적어도 하나의 프로세서로 하여금:
    상기 웨이퍼의 기능 영역(functional region)에 대응하는 제1 특징 패턴(feature pattern)을 식별하는 것;
    상기 제1 특징 패턴과 연관된 스크립트(script)에 기반하여 상기 제1 특징 패턴의 속성(property)을 결정하는 것;
    상기 제1 특징 패턴의 속성에 기반하여 더미 패턴 규칙(rule)을 결정하는 것;
    상기 제1 특징 패턴과 제2 특징 패턴 사이의 거리 및 상기 제1 특징 패턴과 상기 제2 특징 패턴 사이의 밀도 차이에 기반하여 밀도 변화도(density gradient)를 결정하는 것;
    상기 더미 패턴 규칙에 기반하여 상기 제1 특징 패턴을 둘러싸는 인접 에어리어(adjacent area)에 더미 유닛(dummy unit)을 랩-필하는(wrap-filling) 것에 의해, 상기 웨이퍼의 빈 영역(vacant region)에 대응하는 더미 패턴을 생성하는 것; 및
    상기 더미 패턴 규칙에 기반하여 상기 더미 패턴을 상기 인접 에어리어로부터, 상기 제1 특징 패턴에서 더 멀리 떨어진 확장된 에어리어(extended area)로 확장하는 것
    을 포함하는 작동을 수행하게 하고,
    상기 더미 패턴 규칙은 상기 인접 에어리어에서 상기 확장된 에어리어로의 상기 더미 패턴의 밀도 변화를 지시하는 상기 밀도 변화도를 포함하는,
    더미 패턴 레이아웃을 설계하는 시스템.
  2. 제1항에 있어서,
    상기 더미 패턴 규칙은 상기 더미 패턴의 밀도(density)를 포함하는, 더미 패턴 레이아웃을 설계하는 시스템.
  3. 제2항에 있어서,
    상기 작동은,
    상기 제1 특징 패턴의 밀도에 기반하여 상기 더미 패턴의 밀도를 결정하는 작동 - 상기 더미 패턴과 상기 제1 특징 패턴의 밀도 간 차이는 미리 결정된 마진(margin) 내에 있음 -
    를 포함하는, 더미 패턴 레이아웃을 설계하는 시스템.
  4. 제1항에 있어서,
    상기 작동은,
    상기 밀도 변화도에 기반하여 상기 확장된 에어리어에 더미 유닛을 채우는(fill) 것
    을 포함하는, 더미 패턴 레이아웃을 설계하는 시스템.
  5. 제1항에 있어서,
    상기 제1 특징 패턴의 속성은 상기 제1 특징 패턴을 형성하는 특징 유닛의 크기 또는 피치(pitch) 중 적어도 하나를 포함하는, 더미 패턴 레이아웃을 설계하는 시스템.
  6. 제1항에 있어서,
    상기 작동은,
    반도체 제조 공정 시뮬레이터를 사용하여 상기 더미 패턴의 레이아웃을 검증하는(verify) 것
    을 포함하는, 더미 패턴 레이아웃을 설계하는 시스템.
  7. 웨이퍼의 표면 평탄도를 개선하기 위한 더미 패턴 레이아웃을 설계하는 방법으로서,
    적어도 하나의 프로세서가 상기 웨이퍼의 기능 영역에 대응하는 제1 특징 패턴을 식별하는 단계;
    상기 적어도 하나의 프로세서가 상기 제1 특징 패턴과 연관된 스크립트에 기반하여 상기 제1 특징 패턴의 속성을 결정하는 단계;
    상기 적어도 하나의 프로세서가 상기 제1 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정하는 단계;
    상기 적어도 하나의 프로세서가 상기 제1 특징 패턴과 제2 특징 패턴 사이의 거리 및 상기 제1 특징 패턴과 상기 제2 특징 패턴 사이의 밀도 차이에 기반하여 밀도 변화도(density gradient)를 결정하는 단계;
    상기 적어도 하나의 프로세서가 상기 더미 패턴 규칙에 기반하여 상기 제1 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필하는 것에 의해, 상기 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성하는 단계; 및
    상기 적어도 하나의 프로세서가 상기 더미 패턴 규칙에 기반하여 상기 더미 패턴을 상기 인접 에어리어로부터, 상기 제1 특징 패턴에서 더 멀리 떨어진 확장된 에어리어(extended area)로 확장하는 단계
    를 포함하고,
    상기 더미 패턴 규칙은 상기 인접 에어리어에서 상기 확장된 에어리어로의 상기 더미 패턴의 밀도 변화를 지시하는 상기 밀도 변화도를 포함하는,
    더미 패턴 레이아웃을 설계하는 방법.
  8. 제7항에 있어서,
    상기 더미 패턴 규칙은 상기 더미 패턴의 밀도를 포함하는, 더미 패턴 레이아웃을 설계하는 방법.
  9. 제8항에 있어서,
    상기 적어도 하나의 프로세서가 상기 제1 특징 패턴의 밀도에 기반하여 상기 더미 패턴의 밀도를 결정하는 단계 - 상기 더미 패턴과 상기 제1 특징 패턴의 밀도 간 차이는 미리 결정된 마진 내에 있음 -
    를 포함하는 더미 패턴 레이아웃을 설계하는 방법.
  10. 제7항에 있어서,
    상기 적어도 하나의 프로세서가 상기 밀도 변화도에 기반하여 상기 확장된 에어리어에 더미 유닛을 채우는 단계
    를 포함하는 더미 패턴 레이아웃을 설계하는 방법.
  11. 제7항에 있어서,
    상기 제1 특징 패턴의 속성은 상기 제1 특징 패턴을 형성하는 특징 유닛의 크기 또는 피치 중 적어도 하나를 포함하는, 더미 패턴 레이아웃을 설계하는 방법.
  12. 제7항에 있어서,
    상기 적어도 하나의 프로세서가 반도체 제조 공정 시뮬레이터를 사용하여 상기 더미 패턴의 레이아웃을 검증하는 단계
    를 포함하는 더미 패턴 레이아웃을 설계하는 방법.
  13. 명령 세트를 저장하는, 컴퓨터가 판독 가능한 비 일시적 매체로서,
    상기 명령 세트는 전자 디바이스의 적어도 하나의 프로세서에 의해 실행될 때 상기 전자 디바이스로 하여금 웨이퍼의 표면 평탄도를 개선하기 위한 더미 패턴 레이아웃을 설계하는 방법을 수행하게 하며, 상기 더미 패턴 레이아웃을 설계하는 방법은,
    상기 웨이퍼의 기능 영역에 대응하는 제1 특징 패턴을 식별하는 단계;
    상기 제1 특징 패턴과 연관된 스크립트에 기반하여 상기 제1 특징 패턴의 속성을 결정하는 단계;
    상기 제1 특징 패턴의 속성에 기반하여 더미 패턴 규칙을 결정하는 단계;
    상기 제1 특징 패턴과 제2 특징 패턴 사이의 거리 및 상기 제1 특징 패턴과 상기 제2 특징 패턴 사이의 밀도 차이에 기반하여 밀도 변화도(density gradient)를 결정하는 단계;
    상기 더미 패턴 규칙에 기반하여 상기 제1 특징 패턴을 둘러싸는 인접 에어리어에 더미 유닛을 랩-필하는 것에 의해 상기 웨이퍼의 빈 영역에 대응하는 더미 패턴을 생성하는 단계; 및
    상기 더미 패턴 규칙에 기반하여 상기 더미 패턴을 상기 인접 에어리어로부터, 상기 제1 특징 패턴에서 더 멀리 떨어진 확장된 에어리어(extended area)로 확장하는 단계
    를 포함하고,
    상기 더미 패턴 규칙은 상기 인접 에어리어에서 상기 확장된 에어리어로의 상기 더미 패턴의 밀도 변화를 지시하는 상기 밀도 변화도를 포함하는,
    컴퓨터가 판독 가능한 비 일시적 매체.
  14. 제13항에 있어서,
    상기 더미 패턴 규칙은 상기 더미 패턴의 밀도를 포함하는, 컴퓨터가 판독 가능한 비 일시적 매체.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
KR1020217013403A 2019-01-28 2019-01-28 더미 패턴을 설계하는 시스템 및 방법 KR102565831B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/073488 WO2020154862A1 (en) 2019-01-28 2019-01-28 Systems and methods for designing dummy patterns

Publications (2)

Publication Number Publication Date
KR20210068119A KR20210068119A (ko) 2021-06-08
KR102565831B1 true KR102565831B1 (ko) 2023-08-09

Family

ID=66938504

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217013403A KR102565831B1 (ko) 2019-01-28 2019-01-28 더미 패턴을 설계하는 시스템 및 방법

Country Status (7)

Country Link
US (1) US10956648B2 (ko)
EP (1) EP3850628B1 (ko)
JP (1) JP7190575B2 (ko)
KR (1) KR102565831B1 (ko)
CN (1) CN109891414B (ko)
TW (1) TWI735851B (ko)
WO (1) WO2020154862A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111611761B (zh) * 2020-05-15 2023-09-22 全芯智造技术有限公司 生成电路版图图案的方法、设备和计算机可读存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101178744A (zh) 2006-11-06 2008-05-14 茂德科技股份有限公司(新加坡子公司) 具较少储存空间的自动产生虚拟填充的方法
US20140040836A1 (en) * 2012-07-31 2014-02-06 Taiwan Semiconductor Manufacturing Company Limited Graded dummy insertion
US20150149970A1 (en) 2013-11-28 2015-05-28 Sony Corporation Simulation method, simulation program, process control system, simulator, process design method, and mask design method

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3479052B2 (ja) * 2001-04-23 2003-12-15 沖電気工業株式会社 半導体装置のダミー配置判定方法
JP2003324149A (ja) * 2002-04-26 2003-11-14 Nec Electronics Corp ダミーパターンの自動発生方法
US8410571B2 (en) * 2006-07-12 2013-04-02 United Microelectronics Corp. Layout of dummy patterns
US7849436B2 (en) 2006-08-11 2010-12-07 Dongbu Hitek Co., Ltd. Method of forming dummy pattern
JP4714854B2 (ja) 2006-09-05 2011-06-29 独立行政法人産業技術総合研究所 マスクパターン設計方法、マスクパターン設計装置および半導体装置の製造方法
JP5007250B2 (ja) * 2008-02-14 2012-08-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100961204B1 (ko) 2008-06-18 2010-06-09 주식회사 하이닉스반도체 혼합 보조 패턴을 이용한 반도체 소자의 패턴 형성 방법
JP5282649B2 (ja) * 2008-09-25 2013-09-04 富士通株式会社 レイアウト評価装置、レイアウト評価プログラム、ダミールール生成装置及びダミールール生成プログラム
CN102129169B (zh) * 2010-01-13 2012-08-22 中芯国际集成电路制造(上海)有限公司 一种辅助图案填充方法和装置
CN102799060B (zh) * 2011-05-26 2017-08-29 联华电子股份有限公司 虚设图案以及形成虚设图案的方法
US8549453B2 (en) * 2012-01-31 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device feature density gradient verification
US8978000B2 (en) * 2012-12-27 2015-03-10 Taiwan Semiconductor Manufacturing Co. Ltd. Performance-driven and gradient-aware dummy insertion for gradient-sensitive array
US9632498B2 (en) * 2013-03-12 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of compensating for filling material losses in electroplating processes
CN104050309B (zh) * 2013-03-14 2018-11-06 台湾积体电路制造股份有限公司 主图案和切割图案的布局优化
CN103441096B (zh) * 2013-08-02 2015-11-25 上海华力微电子有限公司 一种冗余图形填充方法
CN107978598B (zh) * 2016-10-24 2020-07-07 中芯国际集成电路制造(上海)有限公司 一种标准单元的版图结构及电子装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101178744A (zh) 2006-11-06 2008-05-14 茂德科技股份有限公司(新加坡子公司) 具较少储存空间的自动产生虚拟填充的方法
US20140040836A1 (en) * 2012-07-31 2014-02-06 Taiwan Semiconductor Manufacturing Company Limited Graded dummy insertion
US20150149970A1 (en) 2013-11-28 2015-05-28 Sony Corporation Simulation method, simulation program, process control system, simulator, process design method, and mask design method

Also Published As

Publication number Publication date
EP3850628A1 (en) 2021-07-21
US10956648B2 (en) 2021-03-23
JP2022509283A (ja) 2022-01-20
TW202029026A (zh) 2020-08-01
US20200242211A1 (en) 2020-07-30
JP7190575B2 (ja) 2022-12-15
WO2020154862A1 (en) 2020-08-06
CN109891414B (zh) 2023-07-04
EP3850628A4 (en) 2022-04-13
EP3850628B1 (en) 2023-10-18
CN109891414A (zh) 2019-06-14
KR20210068119A (ko) 2021-06-08
TWI735851B (zh) 2021-08-11

Similar Documents

Publication Publication Date Title
US8756560B2 (en) Method for designing dummy pattern, exposure mask, semiconductor device, method for semiconductor device, and storage medium
US20090049420A1 (en) Dummy pattern placement apparatus, method and program and semiconductor device
JP2002149739A (ja) 半導体回路の寄生素子抽出装置及び寄生素子抽出方法
US7614024B2 (en) Method to implement metal fill during integrated circuit design and layout
CN108122267B (zh) 一种冗余金属的填充方法及装置
KR102565831B1 (ko) 더미 패턴을 설계하는 시스템 및 방법
EP0791887B1 (en) Flip-Chip layout input apparatus and method
JP4469539B2 (ja) 半導体集積回路装置の製造方法
US8312397B2 (en) Method for generating layout pattern of semiconductor device and layout pattern generating apparatus
US8356269B2 (en) Dummy-metal-layout evaluating device and dummy-metal-layout evaluating method
JP2012253071A (ja) 半導体装置および半導体装置設計方法
JP2009182056A (ja) 半導体装置の設計方法、設計装置及びプログラム
JP4799858B2 (ja) 半導体集積回路の自動設計方法
JP2007036290A (ja) 半導体集積回路装置
KR20210028306A (ko) 반도체 장치의 레이아웃 설계 방법
US20240105633A1 (en) Wafer-scale chip structure and method and system for designing the structure
US11092885B2 (en) Manufacturing methods of semiconductor devices
US11916384B2 (en) Region-based power grid generation through modification of an initial power grid based on timing analysis
JPH10247206A (ja) 半導体集積回路装置の設計方法および設計装置
JP3721304B2 (ja) めっき引き出し線の配線方法
KR20230078793A (ko) 후면 웨이퍼 수정
US20110179392A1 (en) Layout determining for wide wire on-chip interconnect lines
US9391056B2 (en) Mask optimization for multi-layer contacts
JP2000114387A (ja) パターンレイアウト装置、その方法およびパターンレイアウトプログラムを記録したコンピュータ読取可能な記憶媒体
JP2007049006A (ja) ダミー配線作成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant