TW202013436A - 在微電子中將不相似材料結合的技術 - Google Patents

在微電子中將不相似材料結合的技術 Download PDF

Info

Publication number
TW202013436A
TW202013436A TW108123486A TW108123486A TW202013436A TW 202013436 A TW202013436 A TW 202013436A TW 108123486 A TW108123486 A TW 108123486A TW 108123486 A TW108123486 A TW 108123486A TW 202013436 A TW202013436 A TW 202013436A
Authority
TW
Taiwan
Prior art keywords
substrate
wafer
bonding
oxide
nitride
Prior art date
Application number
TW108123486A
Other languages
English (en)
Other versions
TWI812747B (zh
Inventor
蓋烏斯 吉爾曼 方騰二世
肯卓瑟卡 曼達拉普
蘿拉 威爾 麥卡雷米
Original Assignee
美商英帆薩斯邦德科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英帆薩斯邦德科技有限公司 filed Critical 美商英帆薩斯邦德科技有限公司
Publication of TW202013436A publication Critical patent/TW202013436A/zh
Application granted granted Critical
Publication of TWI812747B publication Critical patent/TWI812747B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/162Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits the devices being mounted on two or more different substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76275Vertical isolation by bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N30/00Piezoelectric or electrostrictive devices
    • H10N30/01Manufacture or treatment
    • H10N30/07Forming of piezoelectric or electrostrictive parts or bodies on an electrical element or another base
    • H10N30/072Forming of piezoelectric or electrostrictive parts or bodies on an electrical element or another base by laminating or bonding of piezoelectric or electrostrictive bodies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N30/00Piezoelectric or electrostrictive devices
    • H10N30/01Manufacture or treatment
    • H10N30/07Forming of piezoelectric or electrostrictive parts or bodies on an electrical element or another base
    • H10N30/072Forming of piezoelectric or electrostrictive parts or bodies on an electrical element or another base by laminating or bonding of piezoelectric or electrostrictive bodies
    • H10N30/073Forming of piezoelectric or electrostrictive parts or bodies on an electrical element or another base by laminating or bonding of piezoelectric or electrostrictive bodies by fusion of metals or by adhesives

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Ceramic Products (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本發明提供用於在微電子中將不相似材料結合的技術。實例技術使用厚度在100至1000奈米之間的薄氧化物、碳化物、氮化物、碳氮化物或氮氧化物中間物在環境室溫下直接接合不相似材料。該中間物可包含矽。不相似材料可具有顯著不同的熱膨脹係數(CTE)及/或顯著不同的晶格單位晶胞幾何形狀或尺寸,此習知地導致應變過多而使得直接接合不可行。在不相似材料之該直接接合之後在環境室溫下之一固化時段允許直接接合加強超過200%。以每分鐘1℃或更小之溫度升高速率緩慢應用的相對較低溫度退火進一步加強及鞏固該等直接接合。在用於製造各種新穎光學及聲學裝置之製程中,該等實例技術可將鉭酸鋰LiTaO3 直接接合至各種習知基板。

Description

在微電子中將不相似材料結合的技術
本專利申請案主張2019年7月2日提交之Fountain等人之美國非臨時專利申請案第16/459,610號及2018年7月3日提交之Fountain等人之美國臨時專利申請案第62/693,671號的優先權,該等申請案以全文引用之方式併入本文中。
某些類型之微電子裝置習知地阻礙製造,此係因為其需要極成功地結合尚未接合在一起之不同材料。此等微裝置中之接合表面有時僅為幾微米寬。本文中所使用之單位之縮寫包括用於微米(micron或micrometer)之「µm」(1微米=一毫米之千分之一);及用於奈米之「nm」(1000奈米=1微米)。
在嘗試在待用於半導體裝置製造及微電子封裝中之不同材料之間形成適用的表面接合時,會出現若干困難。首先,沉積於各種基板上之多層介電及金屬層常常引起表現為基板之整體晶圓彎曲及局部翹曲的應力。接合此等高度翹曲基板之一個挑戰為需要將其置放於真空下以迫使表面在接合期間平坦。
其次,不同材料具有不同晶格性質。傳統接合技術使用高溫及壓力來結合材料。然而,在接合之後,複合系統冷卻至室溫以供後續處理且接著冷卻至操作溫度(通常遠低於接合溫度)。直接接合提供減少總應力及應變以及在較低溫度下結合之方式。金屬及非金屬兩者均具有晶格單位晶胞,各材料之基本結構構築嵌段處於或接近原子層級。不同材料之晶格單元可在幾何形狀上不同,或其可具有相似幾何形狀,但此等相似單元之尺度不同。無論如何,具有不同晶格單位晶胞幾何形狀之材料之間的直接面對面接合可能導致界面處之應變問題。在直接接合之情況下,在接合及退火中之後續上升溫度期間或當裝置處於電操作中時兩種材料之間的熱波動由於其各別熱膨脹係數(coefficient of thermal expansion;CTE)之差異,可使接合在一起之兩種材料隨著溫度上升以不同速率膨脹。
理想地,面對面接合之一側上的第一材料應擁有結晶性質,該結晶性質相對於第二材料之內部晶體結構具有至少一個定義明確的定向,且此定向有時被稱作單域磊晶。晶格常數為材料中之一種之晶格中之單位晶胞的實體尺寸。三維之晶格通常具有三個晶格常數:a、b及c。需要匹配待以小微電子尺度接合之材料之間的晶格常數以避免兩種不同材料之間較弱及有缺陷的接合。
將不同材料接合在一起亦可具有在微電子裝置中較為重要的電子效應。舉例而言,匹配兩種不同半導體材料之間的晶格結構可形成帶隙變化區而不更改晶體結構。此實現諸如發光二極體及雷射之一些類型之光學裝置的存在。帶隙為不存在電子狀態之固體或兩個經接合固體之能量範圍,且間隙之特徵可在於半導體及絕緣體中價帶之頂部與傳導帶之底部之間的能量差,或自另一觀點,特徵可在於釋放鍵結(價)電子以變成用於傳導電流之傳導電子所需的能量。
舉例而言,習知地將具有相似晶格常數之物質(諸如砷化鎵、砷化鎵鋁及砷化鋁)接合在一起已提供許多穿透光學裝置,諸如LED及雷射。預期將更多不同材料有效地接合在一起之能力提供甚至更多的具有微電子尺度之新裝置。
有時,微電子裝置之製造將僅需要在極薄接合界面上可靠地直接接合兩種不同材料,而不考慮該等材料對彼此之電子效應,但CTE之各別差異及其晶格常數(晶格單位晶胞幾何形狀)之差異習知地使得此等材料之結合不可能或不切實際。
提供用於在微電子中將不相似材料結合的技術。實例技術包括在室溫下使用添加至不相似材料之一個或兩個接合表面之薄非晶形材料層將不相似材料彼此直接接合,其可呈待結合在一起之兩個不同基板形式。氧化矽、氮化矽或碳化矽、碳氮化矽、氮氧化矽及此等介電材料之混合物為用於製造薄非晶形層之材料之實例,該薄非晶形層構成例如大致100至1000 nm厚之薄膜。不相似材料可具有顯著不同的熱膨脹係數(CTE)及/或顯著不同的晶格單位晶胞幾何形狀或尺寸,此習知地導致應變過多而使得直接接合不可行或不可靠。在直接接合之前製備基板可包括將介電層添加至基板之背側以減少翹曲,以便使此等基板平坦而無需真空,從而減少接合界面處之應力及應變。此等翹曲減少層可在達成其目的之後在標準後接合處理中移除。在接合之後,退火工序達成充分接合界面。製程包括在不相似材料之直接接合之後在室溫下之固化時段,其可使直接接合及所得直接接合能量加強超過200%。以每分鐘1℃之速率緩慢應用的相對較低溫度退火進一步加強直接接合。實例技術可用以將III-V半導體、鉭酸鋰LiTaO3 或其他非矽材料直接接合至矽或先前呈現接合挑戰之其他材料,直接接合至晶圓間(wafer-to-wafer;W2W)、晶粒對晶圓(die-to-wafer;D2W)及晶粒對晶粒(die-to-die;D2D)製程中之各種習知基板,從而實現各種新穎光學、電及聲學裝置。
此發明內容不意欲標識所請標的之關鍵或必要特徵,其亦不意欲在限制所請標的之範圍中用作輔助。
概述
本發明描述用於在微電子中成功地將不同及不相似材料彼此結合之實例技術、製程及方法,且描述所得層結構及裝置。實例製程及結構適用於已經常規地用於半導體製造及微電子封裝技術之材料,且適用於並不習知地用於此等技術中之材料之組合。提供本文中所描述之實例技術、製程及方法以用於製造新穎半導體及微電子裝置,且亦用於製造具有更高效及實際設計之習知裝置。
儘管本文中所描述之實例製程可理論上應用且使用於多種及幾乎所有固體、非晶形及/或結晶材料之間,但出於實例製程及所得結構之描述及說明起見,若干材料現在描述為代表性實例。
鉭酸鋰(LiTaO3 或簡稱為「LiT」)為鋰、鉭及氧之礦物及化合物,其在微電子技術中具有適用光學及電子特性。作為潛在微電子裝置之適用組件,LiTaO3 具有光學、壓電及熱電性質,該等性質使其適用於例如非線性光學件、被動紅外線感測器及運動偵測器、兆赫產生及偵測、表面聲波應用、行動電話應用、冷卻、加熱及核行業之中子(原子粒子)之小規模生產。LiTaO3 具有相對較大熱膨脹係數,其中熱膨脹係數為約12 ppm,其沿不同結晶軸方向變化。若LiTaO3 在加熱期間翹曲,則其產生內部電荷不平衡性,此可在冷卻之後導致殘餘翹曲。在熱電效應中,LiTaO3 當經加熱或冷卻時在自身內產生暫時性電壓而無任何強加實體扭曲。溫度之變化略微修改原子在其晶體結構內之位置,從而改變材料之偏振。在不同但相關之壓電效應中,LiTaO3 亦回應於施加之機械應力(強加之翹曲)而產生電荷。當將此材料接合至由不同材料製成之另一基板時,應考慮LiTaO3 之此等熱電及壓電效應。
類似地,鈮酸鋰(LiNbO3 )為鋰、鈮及氧之化合物。LiNbO3 之晶體適用作光波導、適用於行動電話、適用作壓電感測器、光學調變器且適用於各種其他線性及非線性光學應用。LiNbO3 被視為在自然界並不存在之人造介電材料。
出於製造實用微電子裝置之目的,本文中所描述之實例技術能夠使LiTaO3 (或LiNbO3 )直接接合至其他半導體、介電質及絕緣體材料。在下文之描述中,針對LiTaO3 及LiNbO3 兩者以及許多其他相似材料代表性地描述LiTaO3 。如上所述,本文中之實例技術、製程及方法可適用於幾乎任何固體材料之間,但LiTaO3 在描述中代表性地用作待結合至在CTE、晶格單位晶胞幾何形狀或其他性質方面不相似之其他材料之材料的實例。
LiTaO3 經習知地證實對於與重要半導體及介電材料直接接合而言不相容,或可用於半導體及微電子製造及封裝技術中,該等材料諸如矽(Si)、玻璃(非晶二氧化矽SiO2 及其他成分)、熔融矽石(由純二氧化矽SiO2 製成之玻璃)、藍寶石,及所使用之其他常見及不常見基板。
下文所描述之實例技術實現LiTaO3 在微電子裝置中,尤其在微電子裝置之晶圓級製造中之實際使用,其中可藉由使用不同或不相容材料之表面之間(基板之間,諸如由結合以製造新穎或習知微電子裝置之不同材料製成之晶粒及/或晶圓的基板之間)的直接接合及/或直接混合接合來極大地最佳化製造。 實例技術
在實例製程中,低溫接合製程能夠實現微電子裝置內之不同材料之異質整合。此低溫接合製程可尤其適用於使用基板之製造程序,該等基板諸如由相對於習知直接接合製程習知地彼此不相容之兩種不同材料製成之晶粒或晶圓的基板。
圖1展示第一材料之第一晶圓100將在室溫下直接接合至第二材料之第二晶圓102的實例結構。室溫以其常用方式定義為人類舒適環境溫度,通常為大約70℉或大約21.1℃。第一晶圓100之第一材料具有第一熱膨脹係數(CTE)及第一材料之第一晶格之各別單位晶胞的第一組實體尺寸,而第二晶圓102之第二材料具有第二CTE及第二材料之第二晶格之各別單位晶胞之第二組實體尺寸。第二晶圓102之第二CTE不同於第一材料之第一CTE,及/或第二晶圓102之單位晶胞之第二組實體尺寸不同於第一晶圓100之第一材料之單位晶胞之第一實體尺寸。
各別薄氧化物、碳化物、氮化物、碳氮化物、氮氧化物層104及106或其組合沉積於第一晶圓100及第二晶圓102之一個或兩個接合表面上。經沉積氧化物、碳化物、氮化物、碳氮化物或氮氧化物層104及106可僅為100至1000 nm厚,且充當晶圓100與102之間的直接接合中間物。經沉積氧化物、碳化物、氮化物、碳氮化物或氮氧化物層104及106為不同於第一晶圓100之第一材料或第二晶圓102之第二材料的化合物。因此,本文中所描述之直接接合不同於習知直接接合,習知直接接合通常描述為由相同原子或分子製成之兩個表面之間的直接分子接合:相同材料跨越界面接合至自身。
第一晶圓100及第二晶圓102接著在室溫下直接接合在一起以製造經結合晶圓,其中氧化物、碳化物、氮化物、碳氮化物或氮氧化物之薄非晶形層在分子接合界面處介入。非晶形層可包含矽。
將經結合晶圓保持大致48小時以加強被動固化階段中之直接接合,其中允許固體晶體接合或固體接合界面處之其他接合自發鞏固且在室溫層級下在正常分子動態運動下搜尋其最穩定能階。
接著藉由以每分鐘約1℃或更小之溫度升高速率將環境溫度自室溫層級緩慢升高至50℃之相對較低退火溫度來退火經結合晶圓,以退火經結合晶圓之直接接合。 額外實例製程
亦可藉由在第一晶圓100及第二晶圓102之一個或兩個接合表面上產生一或多個原生氧化物(或碳化物、氮化物、碳氮化物、氮氧化物等)膜108及110來實現不相似材料在室溫下之直接接合以製造微電子裝置。此可藉由將接合表面曝露於例如強氧化溶液或氧電漿或在原生碳化物產生或原生氮化物產生之狀況下曝露於其他反應性試劑而實現。
如上文所介紹,微電子裝置內之不同材料之異質整合的挑戰係關於差動CTE、在接合界面處之晶格單位晶胞的不相容性,如在材料之間極大地變化之各別晶格常數及一般材料性質之差異所通常指示。
利用形成原生氧化物層(或碳化物層、氮化物層、碳氮化物、氮氧化物等)之步驟的實例直接接合製程旨在利用由不同材料製成之兩個或更多個基板,該等基板具有可在每一各別不同類型之基板上製造之裝置之性質所提供的特定優點,該等基板現在藉由實例直接接合製程在一個裝置中結合。實例低溫接合技術實現電子裝置之廣泛領域的多個整合可能性。
在實施方案中,實例製程開始於使待與彼此及與環境直接接合在一起之不同材料熱平衡。換言之,直接接合製程開始於所有材料及環境處於相同溫度下,從而在正使用之材料之間提供分子動能之平衡。此平衡控制/防止在直接接合製程期間最初接觸接合表面期間,在材料之間或跨接合界面之熱能不受控制地流動,導致小規模形成之直接接合之精細均質性,且導致對實例製程之精細控制。換言之,在開始此實例直接接合製程時的溫度之謹慎平衡可最終確保在分子層級下之極均一接合界面。在一個實施中,直接接合界面之此均一性僅受在兩個表面之間的接觸之前可在待直接接合在一起之表面上達成的平坦度限制。
在室溫下執行實例直接接合,且接著在室溫下保持長達48小時或更長以用於固化(甚至在退火步驟之前)以大大增加接合能量:完成後續退火步驟之後將存在之接合強度。
舉例而言,在晶圓相對於CTE彼此具有較大差異之情況下,晶圓在直接接合之後保持在室溫下一段時間以固化,這允許接合能量增加,甚至在退火期間升高溫度以使能量增加加速之前。藉由此實例室溫固化技術,已量測到1000 mJ/m2 或更大的接合強度。
圖2展示在直接接合不相似晶圓材料之後,在室溫下接合能量相對於固化時間有增加之實例。一種實例技術使用四乙氧基矽烷(tetraethoxysilane;TEOS)以利用氮電漿表面活化進行TEOS對TEOS直接氧化物接合,然後進行實例29% NH4 OH(氨水)沖洗。在直接接合之退火階段之前,藉由此實例室溫接合固化,已量測到1000 mJ/m2 或更大的接合強度。 使用薄非晶形中間接合層來結合不相似材料之實例室溫直接接合技術
用於在室溫下直接接合不相似材料之一些實例製程使用小於1微米厚(在大致100至1000 nm之間)之薄中間層作為不同氧化物、碳化物、氮化物、碳氮化物或氮氧化物之間的「適配(adapter)」層以用於直接接合。此薄中間層可為非晶形氧化物層,諸如二氧化矽(SiO2 ),其厚度尺寸在上述範圍內,小於1微米厚。二氧化矽膜可藉由電漿增強式化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)系統使用液體四乙氧基矽烷(TEOS)作為Si來源或藉由例如TEOS光化學分解而生長。
圖3展示第一實例製程300,其中LiTaO3 晶圓、基板或表面在室溫下經由諸如SiO2 之氧化物材料所製成的介入薄非晶形接合層或諸如氮化物、碳化物、碳氮化物或氮氧化物之用於直接接合的另一薄非晶形介電中間物直接接合至矽晶圓、基板或表面。在圖3之流程圖中,實例製程300之操作展示於個別區塊中。
在區塊302處,將諸如TEOS衍生之非晶形SiO2 的材料沉積至LiTaO3 晶圓、基板或表面及/或矽晶圓、基板或表面中的一者或兩者上。氧化物材料層可具有大致100至1000 nm(0.1至1.0微米)之經沉積厚度。
在區塊304處,LiTaO3 及SiO2 之各別表面(此等表面中的一者或兩者由氧化物、碳化物、氮化物、碳氮化物及/或氮氧化物之薄非晶形膜覆蓋)藉由化學機械平坦化(CMP)平坦化成平滑、平坦表面。在此步驟處,可移除將產生接合空隙之突點,包括缺陷及粗糙點。
在區塊306處,可用PVA刷擦洗及去離子(deionized;DI)水沖洗來清潔各別晶圓、基板或表面。
在區塊308處,可用超高頻音波(Megasonic)SC1製程清潔各別晶圓、基板或表面,用DI水沖洗且在例如Goldfinger®處理工具上離心乾燥,以在無蝕刻之情況下進行超高頻音波粒子移除。
在區塊310處,在RIE模式中,例如用-200至-300伏特偏壓用氮電漿使晶圓、基板或表面電漿活化。在應用於LiTaO3 直接接合時,利用此類參數之此氮電漿活化可為獨特的。
在區塊312處,用29% NH4 OH(氨水)噴灑沖洗晶圓、基板或表面。利用29% NH4 OH之此沖洗在應用於LiTaO3 直接接合時亦可為獨特製程。NH4 OH沖洗有助於自晶圓、基板或表面移除粒子。在一些狀況下,取決於材料,NH4 OH沖洗可有助於增加接合能量。舉例而言,晶圓、基板或表面可在旋轉接合器工具上離心乾燥。
在區塊314處,使晶圓、基板或表面彼此接觸以在室溫下直接接合,其中LiTaO3 晶圓例如作為頂部晶圓被裝載。不使用紅外(infrared;IR)乾燥燈以避免接合後發生過度彎曲及扭曲。室溫接合亦避免晶圓過度彎曲。同樣,在離心乾燥期間加熱亦可能致使LiTaO3 彎曲,歸因於LiTaO3 材料內之熱電電荷累積,LiTaO3 在冷卻之後不完全鬆弛,因此可避免在直接接合之後進行此類經加熱離心乾燥。
在區塊316處,將晶圓(或經接合基板或經接合表面)在室溫下保持48小時作為實例持續時間,以允許接合強度增加,之後緩慢升高溫度以用於後續退火步驟。接合強度相對於室溫固化所允許之持續時間增加,如圖2中所展示。
在區塊318處,在小於每分鐘1℃之溫度升高製程中,在烘箱中使經直接接合之晶圓、基板或表面之溫度緩慢上升至50℃。此相對較低溫度退火步驟避免經加強之接合滑動,且使經退火之晶圓(基板或表面)之彎曲最小化。
圖4展示第二實例製程400,其中將LiTaO3 晶圓、基板或表面在室溫下經由諸如SiO2 之氧化物材料所製成的介入薄非晶形接合層或諸如氮化物、碳化物、碳氮化物及/或氮氧化物之用於直接接合的另一薄非晶形介電中間物直接接合至藍寶石晶圓、基板或表面。在圖4之流程圖中,實例製程400之操作展示於個別區塊中。
在區塊402處,將諸如TEOS衍生之非晶形SiO2 的代表性材料或碳化物、氮化物、碳氮化物或氮氧化物介電質之薄非晶形層沉積至LiTaO3 晶圓、基板或表面及/或藍寶石晶圓、基板或表面中的一者或兩者上。諸如氧化物、碳化物、氮化物、碳氮化物或氮氧化物之薄非晶形材料層可具有大致100至1000 nm之經沉積厚度。
在區塊404處,藉由化學機械平坦化(CMP)將經氧化物覆蓋之LiTaO3 及/或經氧化物覆蓋之SiO2 (或經氮化物、碳化物、碳氮化物、氮氧化物等覆蓋)之各別表面平坦化成光滑、平坦表面。在此步驟處,可移除將產生接合空隙之突點,諸如缺陷及粗糙點。
在區塊406處,可用PVA刷擦洗及去離子(DI)水來清潔各別晶圓、基板或表面。
在區塊408處,可用超高頻音波SC1製程清潔各別晶圓、基板或表面,用DI水沖洗且在例如Goldfinger®處理工具上離心乾燥,以在無蝕刻之情況下進行超高頻音波粒子移除。
在區塊410處,在RIE模式中,例如用-200至-300伏特偏壓用氮電漿使晶圓、基板或表面電漿活化。
在區塊412處,用29% NH4 OH(氨水或氫氧化銨)噴灑沖洗晶圓、基板或表面。在應用於LiTaO3 直接接合時,利用29% NH4 OH之此沖洗可為獨特製程。NH4 OH沖洗自晶圓、基板或表面移除粒子。在一些狀況下,取決於材料,NH4 OH沖洗增加接合能量。舉例而言,晶圓、基板或表面可在旋轉接合器工具上離心乾燥。
在區塊414處,使晶圓、基板或表面在室溫下彼此直接接合,其中LiTaO3 晶圓例如作為頂部晶圓被裝載。不使用紅外(IR)乾燥燈以避免接合後發生過度彎曲及翹曲。室溫接合亦避免晶圓過度彎曲。同樣,在離心乾燥期間加熱可能致使LiTaO3 彎曲,歸因於LiTaO3 材料中之熱電電荷累積,LiTaO3 在冷卻之後不完全鬆弛,且在此步驟處可避免此類加熱。
在區塊416處,將晶圓(或基板或表面)在室溫下保持至少48小時作為實例持續時間,以允許接合強度增加且鞏固,之後升高溫度以用於後續退火步驟。在被動室溫固化期間之接合強度相對於所允許之持續時間增加,如圖2中所展示。
在區塊418處,在烘箱中以每分鐘約1℃或更小之溫度升高速率使經直接接合之晶圓、基板或表面之溫度上升至50℃。藍寶石為極具剛性的材料,且若晶圓在升高溫度之前未足夠強地接合,則晶圓膨脹及所得翹曲可能致使晶圓分離。因此,此相對較低溫度退火步驟避免經加強之接合滑動,且使經直接接合在一起之晶圓之彎曲最小化。
圖5展示第三實例製程500,其中LiTaO3 晶圓、基板或表面在室溫下經由諸如SiO2 之氧化物材料所製成或氮化物、碳化物、碳氮化物或氮氧化物介電質所製成的介入薄非晶形接合層直接接合至熔融矽石玻璃晶圓、基板或表面。在圖5之流程圖中,實例製程500之操作展示於個別區塊中。
在區塊502處,將諸如TEOS衍生之非晶形SiO2 (或氮化物、碳化物、碳氮化物或氮氧化物)之材料沉積至LiTaO3 晶圓、基板或表面及/或熔融矽石玻璃晶圓、基板或表面中的一者或兩者上。諸如氧化物、氮化物、碳化物、碳氮化物或氮氧化物之材料之薄層可具有大致100至1000 nm之經沉積厚度。
在區塊504處,例如藉由化學機械平坦化(CMP)將經氧化物覆蓋之LiTaO3 及/或經氧化物覆蓋之SiO2 之各別表面平坦化成光滑、平坦表面。在此步驟處,可移除將產生接合空隙之突點,包括缺陷及粗糙點。
在區塊506處,可用PVA刷擦洗及去離子(DI)水來清潔各別晶圓、基板或表面。
在區塊508處,可用超高頻音波SC1製程清潔各別晶圓、基板或表面,用DI水沖洗且在例如Goldfinger®處理工具上離心乾燥,以在無蝕刻之情況下進行超高頻音波粒子移除。
在區塊510處,在RIE模式中,例如用-200至-300伏特偏壓用氮電漿使晶圓、基板或表面電漿活化。在應用於LiTaO3 直接接合時,利用此等參數之此氮電漿活化可為獨特的。
在區塊512處,用29% NH4 OH(氨水或氫氧化銨)噴灑沖洗晶圓、基板或表面。在應用於LiTaO3 直接接合時,利用29% NH4 OH之此沖洗可為獨特製程。NH4 OH沖洗自晶圓、基板或表面移除粒子。在一些狀況下,取決於材料,NH4 OH沖洗增加接合能量。舉例而言,晶圓、基板或表面可在旋轉接合器工具上離心乾燥。
在區塊515處,使晶圓、基板或表面在室溫下彼此直接接合,其中LiTaO3 晶圓例如作為頂部晶圓被裝載。不使用紅外(IR)乾燥燈以避免接合後發生過度彎曲及翹曲。舉例而言,室溫接合亦避免晶圓過度彎曲。同樣,在離心乾燥期間加熱亦可能致使LiTaO3 彎曲,歸因於LiTaO3 材料中之熱電電荷累積,LiTaO3 在冷卻之後不完全鬆弛,且可避免此類加熱。
在區塊516處,將晶圓(或基板或表面)在室溫下保持例如至少48小時,以允許接合強度增加,之後升高溫度以用於後續退火步驟。接合強度相對於室溫固化所允許之持續時間增加,如圖2中所展示。
在區塊518處,在烘箱中以每分鐘約1度或較佳更小之溫度上升速率使直接接合晶圓、基板或表面之溫度上升至50℃。此相對較低溫度退火步驟避免經加強之接合滑動,且使經直接接合在一起之晶圓之彎曲最小化。 用於在無離散介入接合層之情況下結合不相似材料的實例室溫直接接合技術
圖6展示第四實例製程600,其用於在不沉積氧化物、碳化物、氮化物、碳氮化物或氮氧化物材料之離散層作為接合中間物的情況下在室溫下將代表性LiTaO3 晶圓直接接合至矽晶圓。在圖6之流程圖中,實例製程600之操作展示於個別區塊中。
在區塊602處,在矽晶圓上產生(矽之)原生氧化物或矽之銅綠氧化物。在一個實施中,可藉由首先用比率為1:3之過氧化氫-硫酸(H2 O2 :H2 SO4 )之強清潔性(piranha)溶液清潔晶圓且接著用水沖洗來產生原生氧化物。1:3之H2 O2 :H2 SO4 溶液在矽上生長或產生原生氧化物膜,此有助於在氧化物對氧化物直接接合中形成強度接合。
在區塊604處,在超高頻音波去離子水製程中沖洗矽晶圓之具有原生氧化物之表面,且可在例如Goldfinger®處理工具上離心乾燥及沖洗乾燥該表面。
在區塊606處,在RIE模式中用-200至-300伏特偏壓用氮電漿(僅)使LiTaO3 晶圓之表面電漿活化。
在區塊608處,用去離子水噴灑沖洗兩個晶圓。用水沖洗矽晶圓以避免點蝕矽及原生氧化物表面,儘管原生氧化物可足以保護矽表面,在此狀況下使用NH4 OH溶液以增強直接接合可為較佳的。儘管通常用水清潔LiTaO3 晶圓,但亦可用NH4 OH溶液進行清潔。在一些狀況下,用NH4 OH沖洗使直接接合能量增加至更完全電位。接著例如在接合器工具上離心經乾燥晶圓。
在區塊610處,在室溫下將晶圓直接接合在一起,其中LiTaO3 晶圓例如作為頂部晶圓被裝載。通常避免紅外乾燥燈以防止直接接合後發生過度彎曲及翹曲。室溫直接接合亦避免晶圓過度彎曲。在離心乾燥期間加熱可能致使LiTaO3 晶圓彎曲,歸因於LiTaO3 材料中之熱電電荷累積,LiTaO3 晶圓在冷卻之後不完全鬆弛,且在此步驟處可避免此類加熱。
在區塊612處,將經結合及直接接合之晶圓在室溫下保持至少48小時或更久,以允許接合強度增加,之後升高溫度以用於後續退火步驟。接合強度相對於室溫固化之持續時間增加,如圖2中所展示。
在區塊614處,在烘箱中以每分鐘1℃或更小之溫度升高速率將經結合及直接接合之晶圓升溫且加熱至大致50℃之溫度以退火。所逐步地應用之此相對較低溫度退火避免直接接合滑動且使彎曲及翹曲最小化。
圖7展示第四實例製程700,其用於在不沉積氧化物、碳化物、氮化物、碳氮化物或氮氧化物材料之離散層作為接合中間物的情況下在室溫下將LiTaO3 晶圓直接接合至藍寶石晶圓。在圖7之流程圖中,實例製程700之操作展示於個別區塊中。
在區塊702處,用比率為1:3之過氧化氫與硫酸(H2 O2 :H2 SO4 )之強清潔性溶液清潔LiTaO3 晶圓及藍寶石晶圓,且接著用水沖洗。
在區塊704處,在超高頻音波去離子水製程中沖洗晶圓之表面,且可在例如Goldfinger®處理工具上離心乾燥及沖洗乾燥該等表面。
在區塊706處,在RIE模式中用-200至-300伏特偏壓用氧使藍寶石晶圓之表面電漿活化,且在RIE模式中用-200至-300伏特偏壓用氧或氮電漿使LiTaO3 晶圓的表面電漿活化。
在區塊708處,用29% NH4 OH溶液噴灑沖洗晶圓以移除粒子且增強在直接接合製程中可能的接合能量。晶圓可經離心乾燥。
在區塊710處,使晶圓接觸在一起以在室溫下直接接合,其中LiTaO3 晶圓例如作為頂部晶圓被裝載。可避免紅外乾燥燈以防止直接接合後發生過度彎曲及翹曲。室溫直接接合避免晶圓過度彎曲以及允許跨不相似材料形成較強直接接合。在離心乾燥期間加熱會致使LiTaO3 晶圓彎曲,歸因於LiTaO3 材料中之熱電電荷累積,LiTaO3 晶圓在冷卻之後不完全鬆弛,因此可省略此加熱。然而,可藉由將電路連接至LiTaO3 晶圓之部分或藉由電短路、分流或用外部導體使LiTaO3 晶圓接地來放出或耗散累積之熱電電荷。放出累積之熱電電荷可減輕LiTaO3 晶圓之彎曲或扭曲。
在區塊712處,將經結合及直接接合之晶圓在室溫下保持至少48小時或更久,以允許接合強度增加,之後升高溫度以用於後續退火步驟。接合強度隨著在室溫下之時間增加而增加,如圖2中所展示。
在區塊714處,在烘箱中以每分鐘約1℃或更小之溫度升高速率將經結合及直接接合之晶圓升溫且加熱至50℃以退火。所逐步地應用之此相對較低溫度退火避免直接接合滑動且使彎曲及翹曲最小化。
圖8展示第四實例製程800,其用於在不沉積氧化物、碳化物、氮化物、碳氮化物或氮氧化物材料之離散層作為接合中間物的情況下在室溫下將LiTaO3 晶圓直接接合至熔融矽石玻璃晶圓。在圖8之流程圖中,實例製程800之操作展示於個別區塊中。
在區塊802處,用比率為1:3之過氧化氫與硫酸(H2 O2 :H2 SO4 )之強清潔性溶液清潔LiTaO3 晶圓及熔融矽石玻璃晶圓,且接著用水沖洗。
在區塊804處,在超高頻音波去離子水製程中沖洗晶圓之表面,且可在例如Goldfinger®處理工具上離心乾燥及沖洗乾燥該表面。
在區塊806處,在RIE模式中用-200至-300伏特偏壓,用氧電漿或氮電漿使熔融矽石玻璃晶圓及LiTaO3 晶圓之接合表面電漿活化。
在區塊808處,用29% NH4 OH溶液噴灑沖洗晶圓之接合表面以移除粒子且增強直接接合之接合能量。晶圓可經離心乾燥。
在區塊810處,在室溫下將晶圓直接接合在一起,其中LiTaO3 晶圓例如作為頂部晶圓被裝載。避免紅外乾燥燈以防止過度彎曲及翹曲。室溫直接接合用以避免晶圓過度彎曲。離心乾燥期間加熱會致使LiTaO3 晶圓彎曲,且可避免此加熱。可藉由將電路連接至LiTaO3 晶圓之部分或藉由電短路、分流或用外部導體使LiTaO3 晶圓接地來放出或耗散LiTaO3 晶圓中累積之熱電電荷。放出累積之熱電電荷可減輕LiTaO3 晶圓歸因於此等效應之彎曲傾向或扭曲。
在區塊812處,將經結合及直接接合之晶圓在室溫下保持至少48小時或更久,以允許接合強度增加,之後升高溫度以用於後續退火步驟。接合強度隨著保持在室溫下之時間增加而增加,如圖2中所展示。
在區塊814處,在烘箱中以每分鐘約1℃或更小之溫度升高速率將經結合及直接接合之晶圓升溫且加熱至50℃之溫度以退火。所緩慢地應用之此相對較低溫度退火避免直接接合滑動且使彎曲及扭曲最小化。
為了進一步改進不使用氧化物、碳化物、氮化物、碳氮化物或氮氧化物材料之離散沉積層的在室溫下之實例直接接合製程,氧電漿活化有時可得到高於氮電漿活化之接合強度,尤其在將LiTaO3 接合至藍寶石時。然而,氮電漿活化可更好地用於使LiTaO3 接合至矽,而無晶圓之間的離散經沉積氧化物層中間物。
LiTaO3 與矽之間的直接接合之退火可實現較高溫度下之較高接合強度。標稱地,在烘箱中以每分鐘約1℃或更小之溫度升高速率將已在室溫下經固化長達48小時之經直接接合之晶圓加熱至50℃。在一個或兩個接合表面形成原生氧化物、氮化物、碳化物、碳氮化物、氮氧化物作為用於直接接合不相似材料之中間物的狀況下,在溫度逐步升高至50℃之退火步驟之後,經結合晶圓之溫度可以每分鐘約1℃或更小之溫度升高速率進一步上升至100℃以更進一步增加接合強度,而不會顯著增加晶圓之彎曲或扭曲,如圖9中所展示。
以下兩者可在室溫下將不同材料直接接合在一起:將離散薄氧化物、碳化物、氮化物層、碳氮化物及/或氮氧化物作為中間物沉積於一個或兩個晶圓上以在室溫下進行各別晶圓之不相似材料之間的直接接合之實例製程,及另一方面,藉由氧化第一晶圓及/或第二晶圓之原生材料而在晶圓之一個或兩個表面上形成原生氧化物(或碳化物、氮化物、碳氮化物或氮氧化物)的實例製程。在室溫下固化長達48小時或甚至更長極大地加強了在任一狀況下所形成之直接接合。
待在室溫下直接接合在一起之第一晶圓及第二晶圓之材料可具有顯著不同的CTE及晶格常數。歸因於所使用材料之差異,待用於藉由本文中所描述之實例製程建構之給定微電子裝置中的不相似材料可藉由用於平衡兩個晶圓之晶圓翹曲的薄膜工程原理來管理。同樣,可針對不同材料之不同厚度的兩個晶圓利用兩個晶圓之不同材料之不同厚度以抵消彼此之彎曲及扭曲。在實施中,對於本文中所論述之材料,彎曲或翹曲一般假定或計算為在25 µm每吋直徑下至10 µm每吋直徑之範圍內。
上文所描述之實例製程可用以製造用於微電子裝置或封裝之不同材料的晶圓堆疊。各種堆疊層亦可用以在存在之所有層之間有或無直接接合的情況下製造與其他光學及聲學裝置組合之積體電路的多個堆疊。
在前述描述中且在附圖中,已經闡述特定術語及圖式符號以提供對所揭示具體實例之透徹理解。在一些個例中,術語及符號可暗示不被要求以實踐彼等具體實例的特定細節。舉例而言,特定尺寸、量、材料類型、製造步驟以及其類似者中之任一者可不同於以上替代性具體實例中所描述之彼等尺寸、量、材料類型、製造步驟以及其類似者。此外,關於晶圓之技術及裝置之描述可用於D2D、D2W或W2W應用中。術語「耦接(coupled)」在本文中用以表達直接連接以及經由一或多個介入電路或結構之連接。術語「實例(example)」、「具體實例(embodiment)」及「實施(implementation)」用以表達實例,而非偏好或要求。另外,術語「可(may/can)」可互換使用以表示視情況選用之(容許的)主題。任一術語之不存在不應被解釋為意謂需要給定特徵或技術。
在不脫離本發明之更廣泛精神及範圍的情況下可對本文中所呈現之具體實例進行各種修改及改變。舉例而言,可結合任何其他具體實例或替代其對應特徵或態樣而應用該等具體實例中之任一者的特徵或態樣。因此,本說明書及圖式應在說明性意義上而非限制性意義上看待。
儘管本發明已對有限數目之具體實例進行揭示,但受益於本發明之熟習此項技術者將瞭解,本描述所給定之眾多修改及變化係可能的。意欲隨附申請專利範圍涵蓋如在本發明之真實精神及範圍內的此等修改及變化。
下文將參考隨附圖式來描述本發明之某些具體實例,其中相同參考編號表示相同元件。然而,應理解,附圖說明本文中所描述之各種實施且並不意謂限制本文中所描述之各種技術之範圍。 圖1為由使用本文中所描述之實例技術經由經沉積氧化物層或原生氧化物膜直接接合在一起之不相似材料製成之實例基板(諸如晶粒及/或晶圓)的圖式。 圖2為關於本文中所描述之實例技術的直接接合能量與固化時間的圖式。 圖3為用於將LiTaO3 與矽直接接合之實例製程的流程圖。 圖4為用於將LiTaO3 與藍寶石直接接合之實例製程的流程圖。 圖5為用於將LiTaO3 與熔融矽石玻璃直接接合之實例製程的流程圖。 圖6為藉由形成LiTaO3 及/或矽之原生氧化物而將LiTaO3 與矽直接接合之實例製程的流程圖。 圖7為藉由形成LiTaO3 及/或藍寶石之原生氧化物而將LiTaO3 與藍寶石直接接合之實例製程的流程圖。 圖8為藉由形成LiTaO3 及/或熔融矽石玻璃之原生氧化物而將LiTaO3 與熔融矽石玻璃直接接合之實例製程的流程圖。 圖9為實例直接接合能量隨著溫度在退火期間緩慢升高而在不同退火持續時間及不同溫度下增加之圖式。
100:第一晶圓
102:第二晶圓
104:氧化物、碳化物、氮化物、碳氮化物、氮氧化物層
106:氧化物、碳化物、氮化物、碳氮化物、氮氧化物層
108:原生氧化物(或碳化物、氮化物、碳氮化物、氮氧化物等)膜
110:原生氧化物(或碳化物、氮化物、碳氮化物、氮氧化物等)膜

Claims (27)

  1. 一種用於在微電子中直接接合不相似材料之方法,其包含: 獲得第一材料之第一基板,該第一材料具有第一熱膨脹係數(CTE); 獲得第二材料之第二基板,該第二材料具有第二CTE,其中該第二CTE不同於該第一材料之該第一CTE; 在該第一基板及/或該第二基板之表面上形成或沉積氧化物、碳化物、氮化物、碳氮化物或氮氧化物之薄非晶形層作為該第一基板與該第二基板之間的直接接合中間物;及 在環境室溫下將該第一基板及該第二基板直接接合在一起以製造經結合堆疊。
  2. 如請求項1所述之方法,其進一步包含將該經結合堆疊維持於該環境室溫下至少48小時。
  3. 如請求項1所述之方法,其進一步包含以每分鐘約1℃或更小之速率將該經結合堆疊之溫度升高至大約50℃。
  4. 如請求項1所述之方法,其進一步包含當該等材料中之一者為矽時,以每分鐘約1℃或更小之速率將該經結合堆疊之溫度升高至大約100℃。
  5. 如請求項1所述之方法,其進一步包含: 在將該第一晶圓及該第二晶圓直接接合在一起之前,使該第一晶圓及該第二晶圓之接合表面電漿活化;及 將經電漿活化之接合表面曝露於NH4 OH(氫氧化銨)。
  6. 如請求項1所述之方法,其進一步包含: 在將該第一晶圓及該第二晶圓直接接合在一起之前,使該第一晶圓及該第二晶圓之接合表面電漿活化;及 將經電漿活化之接合表面曝露於去離子水。
  7. 如請求項1所述之方法,其中形成於該第一基板及/或該第二基板之該表面上的該氧化物、碳化物、氮化物、碳氮化物或氮氧化物包含經沉積化合物之離散層,該離散層具有在大致100 nm與大致1000 nm之間的厚度。
  8. 如請求項1所述之方法,其中形成於該第一基板及/或該第二基板之該表面上的該氧化物、碳化物、氮化物、碳氮化物或氮氧化物包含該第一基板之該第一材料或該第二基板之該第二材料的至少一種原生氧化物,該至少一種原生氧化物係藉由使該第一材料或該第二材料中之一者或兩者氧化或反應而形成。
  9. 如請求項8所述之方法,其進一步包含以每分鐘約1度或更小之溫度升高速率將該經結合堆疊之溫度自50℃升高至100℃。
  10. 如請求項1所述之方法,其中該第一基板之該第一材料包含鉭酸鋰(LiTaO3 )或鈮酸鋰(LiNbO3 ),且該第二基板之該第二材料包含矽(Si)、石英、熔融矽石玻璃、藍寶石或玻璃。
  11. 如請求項1所述之方法,其進一步包含將該經結合堆疊維持於該環境室溫下至少大致48小時,且接著以每分鐘約1℃或更小之溫度上升速率將該經結合堆疊之溫度升高至大約50℃。
  12. 如請求項1所述之方法,其中該第一基板之該第一材料包含砷化鎵(GaAs)或氮化鎵(GaN),且該第二基板之該第二材料包含矽(Si)、石英、熔融矽石玻璃、藍寶石或玻璃。
  13. 如請求項1所述之方法,其進一步包含: 在該環境室溫下進行該直接接合之前,用化學機械平坦化(CMP)平坦化該第一基板及該第二基板中之每一者的接合表面; 用PVA刷擦洗製程及去離子水沖洗製程來清潔經平坦化表面; 用超高頻音波SC1製程進一步清潔該等經平坦化表面且用去離子水沖洗;及 對經清潔表面進行離心乾燥。
  14. 如請求項13所述之方法,其進一步包含在RIE模式中用-200伏特至-300伏特之偏壓,用氮電漿使該等經清潔表面電漿活化。
  15. 如請求項14所述之方法,其進一步包含用29% NH4 OH溶液噴灑沖洗經電漿活化表面以強化後續直接接合。
  16. 如請求項1所述之方法,其進一步包含在RIE模式中用-200伏特至-300伏特之偏壓,用氮電漿使該第一基板電漿活化,及在RIE模式中用-200伏特至-300伏特之偏壓,用氧電漿使該第二基板電漿活化。
  17. 如請求項1所述之方法,其中該第一基板之該第一材料在直接接合至該第二基板之該第二材料時形成裝置,該裝置選自由以下組成之群:聲學濾波器、表面聲波(SAW)裝置、處理器上之感測器、發光二極體(LED)、紅外(IR)感測器、VIS感測器、處理器上之投影儀、影像感測器、光學裝置及光偵測及測距(LIDAR)裝置。
  18. 一種微電子裝置,其包含: 第一材料之第一基板,該第一材料具有第一熱膨脹係數(CTE); 第二材料之第二基板,該第二材料具有第二CTE,其中該第二CTE不同於該第一材料之該第一CTE; 介入該第一基板與該第二基板之間的薄非晶形氧化物、碳化物、氮化物、碳氮化物或氮氧化物化合物,該薄非晶形氧化物、碳化物、氮化物、碳氮化物或氮氧化物化合物具有小於大致微米之厚度;及 該第一基板經由該介入氧化物、碳化物、氮化物、碳氮化物或氮氧化物化合物直接接合至該第二基板。
  19. 如請求項18所述之微電子裝置,其中該第一基板之該第一材料包含鉭酸鋰(LiTaO3 )或鈮酸鋰(LiNbO3 ),且該第二基板之該第二材料包含矽(Si)、石英、熔融矽石玻璃、藍寶石或玻璃。
  20. 如請求項18所述之微電子裝置,其進一步包含經直接接合之第一基板及第二基板之每一側上的一或多個晶粒或離散電子組件。
  21. 如請求項18所述之微電子裝置,其中該第一基板具有不同於該第二基板之厚度。
  22. 如請求項21所述之微電子裝置,其中該第二基板具有不同於該第一基板之厚度及不同於該第一基板之翹曲或翹曲力以抵消或補償該第一基板之翹曲或翹曲力。
  23. 如請求項18所述之微電子裝置,其中該第一基板及該第二基板為含有至少一些不相似材料之基板之堆疊之部分,該等不相似材料在室溫下經由介入氧化物、碳化物、氮化物、碳氮化物或氮氧化物中間物直接接合。
  24. 如請求項23所述之微電子裝置,其進一步包含多個基板堆疊,其在多個基板層之經直接接合不相似材料之間具有至少一些直接混合接合之電互連件。
  25. 如請求項18所述之微電子裝置,其中該第一基板與該第二基板之各別CTE之間的差同矽與熔化SiO2 之間的CTE差相當,或同LiTaO3 與熔融矽石、矽或石英之間的CTE差相當,或同III-V化合物半導體與矽、石英、藍寶石或熔融矽石之間的CTE差相當。
  26. 如請求項1所述之微電子裝置,其中形成於該第一基板及/或該第二基板之該表面上的該氧化物、碳化物、氮化物、碳氮化物或氮氧化物包含矽。
  27. 如請求項18所述之微電子裝置,其中形成於該第一基板及/或該第二基板之該表面上的該氧化物、碳化物、氮化物、碳氮化物或氮氧化物包含矽。
TW108123486A 2018-07-03 2019-07-03 在微電子中將不相似材料結合的技術 TWI812747B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862693671P 2018-07-03 2018-07-03
US62/693,671 2018-07-03
US16/459,610 US11664357B2 (en) 2018-07-03 2019-07-02 Techniques for joining dissimilar materials in microelectronics
US16/459,610 2019-07-02

Publications (2)

Publication Number Publication Date
TW202013436A true TW202013436A (zh) 2020-04-01
TWI812747B TWI812747B (zh) 2023-08-21

Family

ID=69059300

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108123486A TWI812747B (zh) 2018-07-03 2019-07-03 在微電子中將不相似材料結合的技術
TW112132361A TW202401510A (zh) 2018-07-03 2019-07-03 異質基板的直接結合

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW112132361A TW202401510A (zh) 2018-07-03 2019-07-03 異質基板的直接結合

Country Status (3)

Country Link
US (2) US11664357B2 (zh)
TW (2) TWI812747B (zh)
WO (1) WO2020010056A1 (zh)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
TW202144826A (zh) 2020-05-20 2021-12-01 日商日本碍子股份有限公司 電光元件用複合基板
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
TW202236439A (zh) * 2020-10-29 2022-09-16 美商英帆薩斯邦德科技有限公司 直接接合方法及結構

Family Cites Families (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3175025A (en) 1963-04-05 1965-03-23 Chemotronics International Inc Process for bonding and/or reticulation
US3423823A (en) 1965-10-18 1969-01-28 Hewlett Packard Co Method for making thin diaphragms
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
JPH07112041B2 (ja) 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US5747857A (en) 1991-03-13 1998-05-05 Matsushita Electric Industrial Co., Ltd. Electronic components having high-frequency elements and methods of manufacture therefor
US5668057A (en) 1991-03-13 1997-09-16 Matsushita Electric Industrial Co., Ltd. Methods of manufacture for electronic components having high-frequency elements
US5451547A (en) 1991-08-26 1995-09-19 Nippondenso Co., Ltd. Method of manufacturing semiconductor substrate
EP0823780B8 (en) 1991-09-12 2002-09-04 Matsushita Electric Industrial Co., Ltd. Electro-acoustic hybrid integrated circuit and manufacturing method thereof
NO310996B1 (no) 1992-10-05 2001-09-24 Matsushita Electric Ind Co Ltd Elektroakustisk hybrid integrert krets og fremgangsmate til fremstilling av samme
EP0616426B1 (en) 1993-03-15 1998-09-16 Matsushita Electric Industrial Co., Ltd. Surface acoustic wave device having a lamination structure
EP0651449B1 (en) 1993-11-01 2002-02-13 Matsushita Electric Industrial Co., Ltd. Electronic component and method for producing the same
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US6180496B1 (en) 1997-08-29 2001-01-30 Silicon Genesis Corporation In situ plasma wafer bonding method
US6320206B1 (en) 1999-02-05 2001-11-20 Lumileds Lighting, U.S., Llc Light emitting devices having wafer bonded aluminum gallium indium nitride structures and mirror stacks
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6379577B2 (en) * 1999-06-10 2002-04-30 International Business Machines Corporation Hydrogen peroxide and acid etchant for a wet etch process
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US20020048900A1 (en) 1999-11-23 2002-04-25 Nova Crystals, Inc. Method for joining wafers at a low temperature and low stress
US6502271B1 (en) * 2000-01-26 2003-01-07 Speedfam-Ipec Corporation Method and apparatus for cleaning workpieces with uniform relative velocity
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
WO2002013342A2 (en) 2000-08-04 2002-02-14 Amberwave Systems Corporation Silicon wafer with embedded optoelectronic material for monolithic oeic
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6495398B1 (en) 2001-01-05 2002-12-17 Clarisay, Incorporated Wafer-scale package for surface acoustic wave circuit and method of manufacturing the same
JP4316157B2 (ja) * 2001-05-10 2009-08-19 株式会社東芝 化合物半導体素子の製造方法及びウェハ接着装置
US20030022412A1 (en) 2001-07-25 2003-01-30 Motorola, Inc. Monolithic semiconductor-piezoelectric device structures and electroacoustic charge transport devices
US20030030119A1 (en) 2001-08-13 2003-02-13 Motorola, Inc. Structure and method for improved piezo electric coupled component integrated devices
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
US6975016B2 (en) 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7192841B2 (en) 2002-04-30 2007-03-20 Agency For Science, Technology And Research Method of wafer/substrate bonding
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
US7535100B2 (en) 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US6877209B1 (en) 2002-08-28 2005-04-12 Silicon Light Machines, Inc. Method for sealing an active area of a surface acoustic wave device on a wafer
WO2004021398A2 (en) 2002-08-28 2004-03-11 Silicon Light Machines Corporation Wafer-level seal for non-silicon-based devices
US6846423B1 (en) 2002-08-28 2005-01-25 Silicon Light Machines Corporation Wafer-level seal for non-silicon-based devices
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
FR2849268A1 (fr) * 2002-12-24 2004-06-25 Soitec Silicon On Insulator Procede de fabrication d'un substrat demontable
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
FR2857983B1 (fr) 2003-07-24 2005-09-02 Soitec Silicon On Insulator Procede de fabrication d'une couche epitaxiee
US7230512B1 (en) 2003-08-19 2007-06-12 Triquint, Inc. Wafer-level surface acoustic wave filter package with temperature-compensating characteristics
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
FR2868599B1 (fr) * 2004-03-30 2006-07-07 Soitec Silicon On Insulator Traitement chimique optimise de type sc1 pour le nettoyage de plaquettes en materiau semiconducteur
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
EP1962340A3 (en) 2004-11-09 2009-12-23 S.O.I. TEC Silicon Method for manufacturing compound material wafers
JP4720163B2 (ja) 2004-12-02 2011-07-13 株式会社Sumco Soiウェーハの製造方法
JP4841138B2 (ja) * 2004-12-24 2011-12-21 日本碍子株式会社 誘電体層及び誘電体素子の製造方法、並びに誘電体素子及び圧電トランス
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
TW200707799A (en) 2005-04-21 2007-02-16 Aonex Technologies Inc Bonded intermediate substrate and method of making same
US20060284167A1 (en) * 2005-06-17 2006-12-21 Godfrey Augustine Multilayered substrate obtained via wafer bonding for power applications
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
KR101484296B1 (ko) 2007-06-26 2015-01-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 제작방법
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
JP5448584B2 (ja) * 2008-06-25 2014-03-19 株式会社半導体エネルギー研究所 半導体装置
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8035464B1 (en) 2009-03-05 2011-10-11 Triquint Semiconductor, Inc. Bonded wafer SAW filters and methods
FR2943177B1 (fr) 2009-03-12 2011-05-06 Soitec Silicon On Insulator Procede de fabrication d'une structure multicouche avec report de couche circuit
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
WO2011004211A1 (en) * 2009-07-08 2011-01-13 S.O.I.Tec Silicon On Insulator Technologies Composite substrate with crystalline seed layer and carrier layer with a coincident cleavage plane
JP2011049215A (ja) * 2009-08-25 2011-03-10 Toshiba Corp 半導体装置の製造方法
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
CN102762690A (zh) * 2009-11-16 2012-10-31 爱默蕾大学 晶格失配的核壳型量子点
JP5644096B2 (ja) 2009-11-30 2014-12-24 ソニー株式会社 接合基板の製造方法及び固体撮像装置の製造方法
JP5571988B2 (ja) 2010-03-26 2014-08-13 パナソニック株式会社 接合方法
US8557679B2 (en) 2010-06-30 2013-10-15 Corning Incorporated Oxygen plasma conversion process for preparing a surface for bonding
US8357974B2 (en) * 2010-06-30 2013-01-22 Corning Incorporated Semiconductor on glass substrate with stiffening layer and process of making the same
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2965398B1 (fr) * 2010-09-23 2012-10-12 Soitec Silicon On Insulator Procédé de collage par adhésion moléculaire avec réduction de desalignement de type overlay
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
JP2012124473A (ja) 2010-11-15 2012-06-28 Ngk Insulators Ltd 複合基板及び複合基板の製造方法
KR20120077876A (ko) * 2010-12-31 2012-07-10 삼성전자주식회사 이종 기판 접합 구조 및 방법
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
SG193903A1 (en) * 2011-04-08 2013-11-29 Ev Group E Thallner Gmbh Method for permanent bonding of wafers
CN105938825B (zh) 2011-05-24 2019-04-05 索尼公司 半导体图像接收装置
US9287351B2 (en) * 2011-06-30 2016-03-15 Kyocera Corporation Composite substrate and method for manufacturing same
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US9735280B2 (en) * 2012-03-02 2017-08-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, method for manufacturing semiconductor device, and method for forming oxide film
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
WO2015040784A1 (ja) * 2013-09-17 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
WO2015112958A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102206378B1 (ko) * 2014-06-13 2021-01-22 인텔 코포레이션 웨이퍼 본딩을 위한 표면 캡슐화
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9793243B2 (en) 2014-08-13 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer(s) on a stacked structure having a via
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
EP3221884B1 (en) * 2014-11-18 2022-06-01 GlobalWafers Co., Ltd. High resistivity semiconductor-on-insulator wafers with charge trapping layers and method of manufacturing thereof
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10084427B2 (en) 2016-01-28 2018-09-25 Qorvo Us, Inc. Surface acoustic wave device having a piezoelectric layer on a quartz substrate and methods of manufacturing thereof
KR102494914B1 (ko) 2016-02-16 2023-02-01 에베 그룹 에. 탈너 게엠베하 기판을 접합하기 위한 방법 및 장치
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10177735B2 (en) 2016-02-29 2019-01-08 Avago Technologies International Sales Pte. Limited Surface acoustic wave (SAW) resonator
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
CN109416406B (zh) 2016-07-05 2023-06-20 深圳帧观德芯科技有限公司 具有不同热膨胀系数的接合材料
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US11156542B2 (en) 2016-09-20 2021-10-26 Autonomous Medical Devices Inc. Surface acoustic wave biosensor employing an analog front end and DNA encoded libraries to improved limit of detection (LOD) with exemplary apparatus of the same
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
TWI737811B (zh) 2016-11-25 2021-09-01 日商日本碍子股份有限公司 接合體
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
JP6558355B2 (ja) * 2016-12-19 2019-08-14 信越半導体株式会社 Soiウェーハの製造方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
WO2018151147A1 (ja) 2017-02-14 2018-08-23 京セラ株式会社 弾性波素子
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11206007B2 (en) 2017-10-23 2021-12-21 Qorvo Us, Inc. Quartz orientation for guided SAW devices
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10672820B2 (en) 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US10784219B2 (en) 2017-11-30 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US20190221607A1 (en) 2018-01-14 2019-07-18 Innovative Micro Technology Microfabricated device with piezoelectric substrate and method of manufacture
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
WO2019180922A1 (ja) * 2018-03-23 2019-09-26 日本碍子株式会社 電気光学素子のための複合基板
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10734285B2 (en) 2018-06-28 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding support structure (and related process) for wafer stacking
US11424205B2 (en) 2018-06-29 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnect structure and method
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN116529867A (zh) 2020-10-29 2023-08-01 美商艾德亚半导体接合科技有限公司 直接接合方法和结构
TW202236439A (zh) 2020-10-29 2022-09-16 美商英帆薩斯邦德科技有限公司 直接接合方法及結構
WO2022147430A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
KR20230125309A (ko) 2020-12-28 2023-08-29 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 기판-관통 비아를 가지는 구조체 및 이를 형성하기위한 방법
TW202243197A (zh) 2020-12-30 2022-11-01 美商英帆薩斯邦德科技有限公司 直接接合結構
JP2024501559A (ja) 2020-12-30 2024-01-12 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 導電特徴部を備えた構造体及びその形成方法
CN117256047A (zh) 2021-03-03 2023-12-19 美商艾德亚半导体接合科技有限公司 用于直接接合的接触结构
US20220320035A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022212595A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
CN117413344A (zh) 2021-03-31 2024-01-16 美商艾德亚半导体接合科技有限公司 载体的直接结合和解结合
CN117859202A (zh) 2021-07-16 2024-04-09 美商艾德亚半导体接合科技有限公司 用于接合结构的光学阻塞保护元件
CN118103972A (zh) 2021-08-02 2024-05-28 美商艾德亚半导体接合科技有限公司 用于键合结构的保护性半导体元件
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding
WO2023034738A1 (en) 2021-09-01 2023-03-09 Adeia Semiconductor Technologies Llc Stacked structure with interposer
CN118215999A (zh) 2021-09-24 2024-06-18 美商艾德亚半导体接合科技有限公司 具有有源转接件的接合结构

Also Published As

Publication number Publication date
US20230253383A1 (en) 2023-08-10
TWI812747B (zh) 2023-08-21
US11664357B2 (en) 2023-05-30
TW202401510A (zh) 2024-01-01
WO2020010056A1 (en) 2020-01-09
US20200013765A1 (en) 2020-01-09

Similar Documents

Publication Publication Date Title
TWI812747B (zh) 在微電子中將不相似材料結合的技術
US20230197496A1 (en) Direct bonding and debonding of elements
US10381261B2 (en) Method of manufacturing high resistivity semiconductor-on-insulator wafers with charge trapping layers
US9553014B2 (en) Bonded processed semiconductor structures and carriers
US7420226B2 (en) Method for integrating silicon CMOS and AlGaN/GaN wideband amplifiers on engineered substrates
CN107004639B (zh) 衬底制造方法
KR101311332B1 (ko) 임시 반도체 구조 본딩 방법들 및 관련 본딩된 반도체 구조들
US20060284167A1 (en) Multilayered substrate obtained via wafer bonding for power applications
JP7275172B2 (ja) 優れた性能、安定性および製造性を有する無線周波数シリコン・オン・インシュレータ・ウエハ・プラットフォーム
JP2009500819A (ja) 酸化物もしくは窒化物の薄い結合層を堆積することによる基板の組み立て方法
TW201816993A (zh) 提供熱膨脹匹配型裝置之直接接合方法
KR101398080B1 (ko) 접합 반도체 구조물 및 그 형성방법
CN112368828A (zh) 在微电子学中用于接合异种材料的技术
US10679964B2 (en) Solid-state wafer bonding of functional materials on substrates and self-aligned contacts
EP4229676A1 (en) Methods and systems for fabrication of mmic and rf devices on engineered substrates
CN113013064A (zh) 一种基于硅基载板的化合物半导体晶圆制造工艺
Kurita et al. A Novel III-V/Si Chip-on-Wafer Direct Transfer Bonding Technology
CN113013061B (zh) 一种利用有机薄膜进行化合物半导体加工的方法
JP7041648B2 (ja) 複合基板の製造方法
Dragoi et al. Si/GaAs heterostructures fabricated by direct wafer bonding
Radu In memoriam Ulrich Gösele: wafer bonding á la carte
KR20220156844A (ko) 적층 구조체를 생성하기 위한 프로세스
Hermanowski et al. The role of wafer Bonding in 3D Integration and Packaging
Pelzer et al. Advanced low temperature bonding technologies