TW201939652A - 設備前端模組 - Google Patents

設備前端模組 Download PDF

Info

Publication number
TW201939652A
TW201939652A TW107128714A TW107128714A TW201939652A TW 201939652 A TW201939652 A TW 201939652A TW 107128714 A TW107128714 A TW 107128714A TW 107128714 A TW107128714 A TW 107128714A TW 201939652 A TW201939652 A TW 201939652A
Authority
TW
Taiwan
Prior art keywords
gas
chamber
transfer chamber
opening
inert gas
Prior art date
Application number
TW107128714A
Other languages
English (en)
Other versions
TWI787327B (zh
Inventor
河合俊宏
小倉源五郎
Original Assignee
日商昕芙旎雅股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商昕芙旎雅股份有限公司 filed Critical 日商昕芙旎雅股份有限公司
Publication of TW201939652A publication Critical patent/TW201939652A/zh
Application granted granted Critical
Publication of TWI787327B publication Critical patent/TWI787327B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/0039Filters or filtering processes specially modified for separating dispersed particles from gases or vapours with flow guiding by feed or discharge devices
    • B01D46/0041Filters or filtering processes specially modified for separating dispersed particles from gases or vapours with flow guiding by feed or discharge devices for feeding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2273/00Operation of filters specially adapted for separating dispersed particles from gases or vapours
    • B01D2273/30Means for generating a circulation of a fluid in a filtration system, e.g. using a pump or a fan
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/10Particle separators, e.g. dust precipitators, using filter plates, sheets or pads having plane surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Control Of Motors That Do Not Use Commutators (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)

Abstract

設計成容易將收容室內置換成惰性氣體,同時亦容易排出收容室內的微粒。   EFEM,包含:載入埠(4);及框體,藉由載入埠(4)連接至設於隔壁之開口而被閉鎖,而在內部構成搬送室;及供給管,用來對搬送室供給氮;及排出管(49),用來將搬送室內的氣體排出。載入埠(4),具有可開閉被載置的FOUP(100)的蓋部(101)之開閉機構(54)、及透過狹縫(51b)和搬送室連通且收容開閉機構(54)的一部分之收容室(60)。排出管(49),連接至收容室(60),以便透過收容室(60)將搬送室內的氣體排出。

Description

設備前端模組
本發明有關對被閉鎖的搬送室供給惰性氣體而可置換成惰性氣體環境之EFEM(Equipment Front End Module;設備前端模組)。
專利文獻1中記載一種EFEM,係包含:載入埠,供收容晶圓(半導體基板)的FOUP(Front-Opening Unified Pod;晶舟)載置;及框體,藉由載入埠連接至設於前面壁之開口而被閉鎖,形成有供晶圓的搬送進行之搬送室;在對晶圓施以規定處理之處理裝置與FOUP之間進行晶圓的授受。
以往,搬送室內的氧或水分等對於在晶圓上被製造之半導體電路的影響少,但近年來伴隨半導體電路的更加微細化,該些影響逐漸顯著化。鑑此,專利文獻1中記載的EFEM,係構成為藉由惰性氣體亦即氮來充滿搬送室內。具體而言,EFEM,具有用來在框體的內部令氮循環之由搬送室與氣體返回路徑所構成之循環流路、及從氣體返回路徑的上部供給氮之氣體供給手段、及從氣體返回路徑的下部排出氮之氣體排出手段。氮,因應循環流路內的氧濃度等的變動而被適當供給及排出。如此一來,可將搬送室內保持成氮環境。 [先前技術文獻] [專利文獻]
[專利文獻1]日本特開2015-146349號公報
[發明所欲解決之問題]
上述專利文獻1記載之EFEM的載入埠,具有可開閉被載置的FOUP的蓋部之開閉機構、及和搬送室連通且收容開閉機構的一部分之收容室,但氣體排出手段連接至循環流路的氣體返回路徑的下部。因此,於EFEM啟動時(包含維護後),即使一面對循環流路供給氮一面從循環流路排出氣體,由於和搬送室連通的收容室未被包括在循環流路中,因此會發生將收容室置換成氮環境需要時間之問題。再者,收容室內的微粒會由於開閉機構的動作而揚起,會發生容易侵入至搬送室之問題。
鑑此,本發明之目的,在於提供一種可將收容室容易地置換成惰性氣體,同時亦可排出收容室內的微粒之EFEM。 [解決問題之技術手段]
本發明之EFEM,具備:載入埠;及框體,藉由前述載入埠連接至設於側壁之開口而被閉鎖,而在內部構成用來搬送基板之搬送室;及基板搬送裝置,配置於前述搬送室內,進行前述基板的搬送;及惰性氣體供給手段,用來對前述搬送室供給惰性氣體;及氣體排出手段,用來將前述搬送室內的氣體排出。又,前述載入埠,具有可開閉被載置的FOUP的蓋部之開閉機構、及和前述搬送室連通且收容前述開閉機構的一部分之收容室,前述氣體排出手段,連接至前述收容室,以便透過前述收容室將前述搬送室內的氣體排出。
按此,氣體排出手段,連接至供開閉機構收容之載入埠的收容室,藉此會變得容易將收容室內置換成惰性氣體環境。例如,於EFEM啟動時(包含進行了維護後),藉由惰性氣體供給手段供給惰性氣體而藉由氣體排出手段排出氣體,藉此會變得容易將搬送室及收容室迅速地置換成惰性氣體環境,能夠縮短開始基板的搬送等作業所需之時間。此外,於氣體排出時變得亦可排出收容室內的微粒,於開閉機構動作時收容室內的微粒變得不易揚起,微粒變得不易侵入至搬送室內。
本發明中,較佳是更具備:氣體送出口,設於前述搬送室的上部,對該搬送室內送出惰性氣體;及氣體吸引口,設於前述搬送室的下部,吸引該搬送室內的惰性氣體;及氣體返回路徑,令從前述氣體吸引口被吸引的惰性氣體往前述氣體送出口返回;及過濾器,除去從前述氣體送出口送出的惰性氣體中含有之微粒。如此一來,會在搬送室令除去微粒之惰性氣體的下降氣流產生,同時可通過氣體返回路徑來使惰性氣體循環。因此,會抑制惰性氣體的消費,可削減成本。
此外,本發明中,較佳是在前述收容室,設有將惰性氣體送至前述氣體排出手段之風扇。如此一來,比起風扇被設於搬送室,更可抑制微粒的揚起。
此外,本發明中,較佳是前述收容室,配置於比載置前述FOUP的載置部還下方,前述氣體排出手段,連接至構成前述收容室之底壁。如此一來,可將微粒有效地排出。
此外,本發明中,較佳是在前述框體,設有複數個前述載入埠,前述氣體排出手段,連接至前述載入埠的各自的前述收容室。如此一來,可將各載入埠的收容室內的微粒有效地排出。 [發明之功效]
按照本發明之EFEM,氣體排出手段,連接至供開閉機構收容之載入埠的收容室,藉此會變得容易將收容室內置換成惰性氣體環境。例如,於EFEM啟動時(包含進行了維護後),藉由惰性氣體供給手段供給惰性氣體而藉由氣體排出手段排出氣體,藉此會變得容易將搬送室及收容室迅速地置換成惰性氣體環境,能夠縮短開始基板的搬送等作業所需之時間。此外,於氣體排出時變得亦可排出收容室內的微粒,於開閉機構動作時收容室內的微粒變得不易揚起,微粒變得不易侵入至搬送室內。
以下,針對本發明的一實施形態之EFEM1,參照圖1~圖8以下說明之。另,為求說明簡便,將圖1所示方向訂為前後左右方向。也就是說,本實施形態中,將EFEM(Equipment Front End Module)1與基板處理裝置6並排著的方向訂為前後方向,將EFEM1側訂為前方,將基板處理裝置6側訂為後方。此外,將和前後方向正交之複數個載入埠4並排著的方向訂為左右方向。此外,將和前後方向及左右方向雙方正交之方向訂為上下方向。
(EFEM及其周邊的概略構成)   首先,針對EFEM1及其周邊的概略構成,利用圖1及圖2說明之。圖1為本實施形態之EFEM1及其周邊的概略構成示意平面圖。圖2為EFEM1的電性構成示意圖。如圖1所示,EFEM1,具備框體2、搬送機器人3(基板搬送裝置)、3個載入埠4、控制裝置5。在EFEM1的後方,配置有對晶圓W(基板)施以規定處理之基板處理裝置6。EFEM1,藉由配置於框體2內之搬送機器人3,而在被載置於載入埠4之FOUP(Front-Opening Unified Pod)100與基板處理裝置6之間進行晶圓W的授受。FOUP100為可將複數個晶圓W於上下方向並排收容之容器,在後端部(於前後方向之框體2側的端部)安裝有可開閉的蓋101(蓋部)。FOUP100,例如藉由周知的OHT(Overhead Hoist Transport;天井走行式無人搬送車:未圖示)而被搬送。在OHT與載入埠4之間,進行FOUP100的授受。
框體2,為用來將3個載入埠4與基板處理裝置6連接之物。在框體2的內部,形成有對於外部空間而言略密閉之用來將晶圓W不曝露於外氣而予以搬送之搬送室41。當EFEM1正在運轉時,搬送室41被氮充滿。另,本實施形態中,搬送室41雖被氮充滿,但只要是惰性氣體則亦可為氮以外之物。框體2,構成為讓氮在包含搬送室41之內部空間中循環(詳細後述)。此外,在框體2的後端部設有可開閉的門2a,搬送室41隔著門2a與基板處理裝置6連接。
搬送機器人3,配置於搬送室41內,進行晶圓W的搬送。搬送機器人3,具有位置被固定之基台部90(參照圖3)、及配置於基台部90的上方,將晶圓W予以保持而搬送之臂機構70(參照圖3)、及機器人控制部11(參照圖2)。搬送機器人3,主要進行將FOUP100內的晶圓W取出而授予基板處理裝置6之動作、或接受藉由基板處理裝置6而已被處理的晶圓W而送返FOUP100之動作。
載入埠4,為用來載置FOUP100(參照圖7)之物。複數個載入埠4,如圖1及圖5所示,沿著框體2的前側的隔壁33,於左右方向並排配置。各載入埠4,藉由位於後端的基座51(參照圖7)將形成於框體2的隔壁33之3個開口(未圖示)予以各自閉鎖。另,該些3個開口,於左右方向形成於4個柱21~24間。如此一來,在框體2內搬送室41會構成略密閉空間。此外,載入埠4,構成為可將FOUP100內的環境置換成氮。在載入埠4的後端部,設有後述的開閉機構54的一部分亦即門4a。門4a,藉由門驅動機構55(開閉機構54的一部分)而被開閉。門4a,構成為可將FOUP100的蓋101的鎖定解除,且可保持蓋101。於門4a正在保持被解除了鎖定的蓋101的狀態下,門驅動機構55將門4a開啟,藉此蓋101會被開啟。藉此,FOUP100內的晶圓W,便可藉由搬送機器人3取出。此外,可藉由搬送機器人3將晶圓W收納於FOUP100內。
如圖2所示,控制裝置5,係與搬送機器人3的機器人控制部11、載入埠4的載入埠控制部12、基板處理裝置6的控制部(未圖示)電性連接,而進行與該些控制部之通訊。此外,控制裝置5,與設置於框體2內之氧濃度計85、壓力計86、濕度計87等電性連接,接收該些計測機器的計測結果,來掌握有關框體2內的環境之資訊。此外,控制裝置5,與供給閥112及排出閥113(後述)電性連接,藉由調節該些閥的開度,來適當調節框體2內的氮環境。
如圖1所示,基板處理裝置6,例如具有載入/載出(load-lock)室6a、處理室6b。載入/載出室6a,為隔著框體2的門2a而與搬送室41連接之,用來令晶圓W暫時地待命之房間。處理室6b,隔著門6c而與載入/載出室6a連接。處理室6b中,藉由未圖示之處理機構,對晶圓W施以規定的處理。
(框體及其內部的構成)   接下來,針對框體2及其內部的構成,利用圖3~圖6說明之。圖3為從正面觀看框體2時的正面圖。圖4為沿圖3所示IV-IV線的截面圖。圖5為沿圖3所示V-V線的截面圖。圖6為沿圖3所示VI-VI線的截面圖。另,圖3及圖6中,省略了隔壁的圖示。此外,圖5中,省略了搬送機器人3等的圖示,針對載入埠4以2點鏈線表示。
框體2,全體而言具有略直方體形狀。如圖3~圖5所示,框體2,具有柱21~26、連結管27、及隔壁31~36。在朝上下方向延伸的柱21~26安裝有隔壁31~36,框體2的內部空間構成為相對於外部空間大略密閉。
更具體而言,如圖4所示,於框體2的前端部,柱21~24從左方朝向右方一面彼此相隔距離一面依序配置。此外,柱21~24,以沿上下方向之方式立設配置。柱21,24,其上下方向的長度幾乎呈同長度。柱22,23,其上下方向的長度亦幾乎呈同長度,但比柱21還短。在框體2的後端部的左右兩側,沿上下方向立設配置有2根的柱25、26。連結管27,朝左右方向延伸,將4根的柱21~24彼此連結。連結管27連接至柱22,23的上端,連接至柱21,24的中途部位。
如圖3所示,在框體2的底部配置有隔壁31,在天花板部配置有隔壁32。如圖4所示,各自在前端部配置有隔壁33(側壁)、在後端部有隔壁34、在左端部有隔壁35、在右端部有隔壁36。在隔壁33,形成有未圖示之3個開口。該些3個開口,於左右方向配置於4個柱21~24間,藉由載入埠4的基座51而被閉鎖。在框體2的右端部,設有供後述的對準器(aligner)84載置之載置部83(參照圖3)。對準器84及載置部83,皆被收容於框體2的內側(參照圖4)。
如圖5所示,在框體2內的上側部分同時也是連結管27的後端側,配置有朝水平方向延伸之支撐板37。藉此,框體2的內部,會被分成形成於下側之前述的搬送室41、及形成於上側之FFU設置室42。在FFU設置室42內,配置有後述的3個FFU(Fan Filter Unit;風扇過濾單元)44。在支撐板37的前後方向之中央部同時也是和FFU44於上下方向相向之位置,形成有令搬送室41與FFU設置室42連通之3個開口37a。該些3個開口37a,沿左右方向並排配置。另,3個開口37a,如圖6所示,於左右方向配置於4根的柱21~24間。此開口37a,為將被供給的氮(惰性氣體)予以送出之氣體送出口。另,框體2的隔壁33~36,被分成搬送室41用的下部壁與FFU設置室42用的上部壁(例如參照圖5中的前端部的隔壁33a、33b及後端部的隔壁34a、34b)。
接下來,針對框體2的內部的構成說明之。具體而言,針對用來在框體2內令氮循環之構成及其周邊構成、以及配置於搬送室41內的機器等說明之。
針對用來在框體2內令氮循環之構成及其周邊構成,利用圖3~圖5說明之。如圖5所示,在框體2的內部,形成有用來令氮循環之循環路徑40。循環路徑40,藉由搬送室41、FFU設置室42、及返回路徑43(氣體返回路徑)而構成。循環路徑40中,清淨的氮從FFU設置室42通過各開口37a往下方被送出,到達了搬送室41的下端部之後,通過返回路徑43上昇,回到FFU設置室42(參照圖5的箭頭)。以下詳細說明之。
在FFU設置室42,如圖5及圖6所示,設有配置於支撐板37上之3個FFU44、及配置於FFU44上之3個化學過濾器45。FFU44,如圖5所示,具有風扇44a與過濾器44b。FFU44,藉由風扇44a將FFU設置室42內的氮朝下方送出,同時將氮中含有的微粒(未圖示)藉由過濾器44b除去。化學過濾器45,例如為用來將從基板處理裝置6被帶進循環路徑40的活性氣體等予以除去之物。藉由FFU44及化學過濾器45而被清淨化後的氮,從FFU設置室42透過形成於支撐板37之開口37a被送出至搬送室41。被送出至搬送室41的氮,形成層流,往下方流動。
返回路徑43,形成於配置於框體2的前端部之柱21~24(圖5中為柱23)、及連結管27。柱21~24、及連結管27的內部呈中空,各自形成有可彼此供氮流通的空間21a~24a,27a(參照圖4)。柱21~24的空間21a~24a,朝上下方向延伸形成,任一者皆從柱21~24的下端延伸直到連結管27的位置。連結管27的空間27a,朝左右方向延伸。此外,在連結管27的下面,如圖5及圖6所示,形成有用來令柱21~24的空間21a~24a與空間27a彼此連通之連通口27b~27e。此外,在連結管27的上面,形成有朝向FFU設置室42(也就是說朝向上方)開口之3個開口27f~27h,該些3個開口27f~27h,於左右方向配置於4根的柱21~24間,彼此具有於左右方向長型的矩形平面形狀。像這樣,連結管27構成為令從4個空間21a~24a流進來的氮暫且匯合後,可從3個開口27f~27h送出至FFU設置室42。像這樣,空間21a~24a,27a構成返回路徑43。
針對返回路徑43,參照圖5的同時更具體地說明之。另,圖5中雖揭示柱23,但針對其他的柱21、22、24亦同。在柱23的下端部,安裝有用來令搬送室41內的氮容易流入至返回路徑43(空間23a)之導入管道28。在導入管道28形成開口28a,到達了搬送室41的下端部的氮可流入至返回路徑43。亦即,開口28a,為將搬送室41內的氮吸引至返回路徑43之氣體吸引口。
在導入管道28的上部,形成有愈朝向下方則愈朝後方開展之擴大部28b。在導入管道28內同時也是擴大部28b的下方,配置有風扇46。風扇46,藉由未圖示的馬達而被驅動,將到達了搬送室41的下端部的氮吸進返回路徑43(圖5中為空間23a)而朝上方送出,將氮送返至FFU設置室42。被送返至FFU設置室42的氮,從化學過濾器45的上面被吸進FFU44側,藉由該些FFU44或化學過濾器45被清淨化,再度透過開口37a往搬送室41被送出。依以上方式,氮便可在循環路徑40內循環。
此外,如圖3所示,在FFU設置室42的後端上部,配置有用來對FFU設置室42(循環路徑40)內供給氮之供給管47。供給管47,和連接至氮的供給源111之外部配管48連接。在外部配管48的中途部位,設有可變更氮的每單位時間的供給量之供給閥112。藉由該些供給管47、外部配管48、供給閥112及供給源111,構成惰性氣體供給手段。另,當惰性氣體供給管線是設置於工廠等的情形下,可將該供給管線和供給管47連接。因此,惰性氣體供給手段,亦可僅由供給管47構成。
供給管47,如圖3及圖6所示,沿左右方向延伸,形成有3個排出口47a。3個排出口47a,沿左右方向彼此相隔距離而配置,將氮從供給管47排出至FFU設置室42內。該些3個排出口47a,如圖5所示,構成為可供氮朝向下方排出。此外,3個排出口47a,於左右方向配置成和FFC44的中心為相同位置關係。
此外,如圖5所示,在載入埠4的下端,連接有用來將循環路徑40內的氣體排出之排出管49。另,載入埠4,如後述般,供門驅動機構55收容之收容室60係透過形成於基座51之狹縫51b而和搬送室41連通(參照圖7)。又,排出管49連接至收容室60。排出管49,例如連接至未圖示之排氣埠,在其中途部位,設有可變更循環路徑40內的氣體的每單位時間的排出量之排出閥113。藉由該些排出管49及排出閥113,構成氣體排出手段。
供給閥112及排出閥113,與控制裝置5電性連接(參照圖2)。藉此,便可對循環路徑40適當供給及排出氮。例如,當啟動EFEM1時(例如包含維護EFEM1後啟動時等),循環路40內的氧濃度正在上昇的情形下,從供給源111透過外部配管48及供給管47對循環路徑40供給氮,透過排出管49將循環路徑40及收容室60內的氣體(包含氮及氧等)排出,藉此便能降低氧濃度。亦即,能夠將循環路徑40及收容室60內置換成氮環境。另,當正在令EFEM1運轉時,循環路徑40內的氧濃度上昇了的情形下,亦對循環路徑40暫時性地多供給氮,透過排出管49將氧和氮一起排出,藉此便能降低氧濃度。另,在令氮循環的類型之EFEM1中,為了抑制氮從循環路徑40往外部之漏出,同時確實地抑制大氣從外部往循環路徑40之侵入,必須將循環路徑40內的壓力保持得比外部的壓力還稍高。具體而言,為1Pa(G)~3000Pa(G)的範圍內,較佳為3Pa(G)~500Pa(G),更佳為5Pa(G)~100Pa(G)。因此,控制裝置5,若循環路徑40的壓力脫離規定範圍,則藉由變更排出閥113的開度來變更氮的排出流量,調節以使壓力成為規定的目標壓力。像這樣,基於氧濃度來調節氮的供給流量,基於壓力來調節氮的排出流量,藉此控制氧濃度及壓力。本實施形態中,是調整以使其成為10Pa(G)的差壓。
接下來,針對配置於搬送室41內的機器等,利用圖3及圖4說明之。如圖3及圖4所示,在搬送室41內,配置有上述的搬送機器人3、及控制部收容箱81、及計測機器收容箱82、及對準器84。控制部收容箱81,例如設置於搬送機器人3的基台部90(參照圖3)的左方,配置成不與臂機構70(參照圖3)干涉。在控制部收容箱81,收容有上述的機器人控制部11或載入埠控制部12。計測機器收容箱82,例如設置於基台部90的右方,配置成不與臂機構70干涉。在計測機器收容箱82,可收容上述的氧濃度計85、壓力計86、濕度計87等計測機器(參照圖2)。
對準器84,為用來檢測被保持於搬送機器人3的臂機構70(參照圖3)之晶圓W的保持位置距目標保持位置偏離了多少之物。例如,在藉由上述的OHT(未圖示)而被搬送之FOUP100(參照圖1)的內部,晶圓W恐會細微地移動。鑑此,搬送機器人3,將從FOUP100取出的處理前的晶圓W,暫先載置於對準器84。對準器84,計測晶圓W藉由搬送機器人3被保持在自目標保持位置偏離了多少之位置,將計測結果發送至機器人控制部11。機器人控制部11,基於上述計測結果,修正臂機構70所致之保持位置,控制臂機構70令其在目標保持位置保持晶圓W,令其搬送直到基板處理裝置6的載入/載出室6a。藉此,便能正常地進行基板處理裝置6所做的晶圓W之處理。
(載入埠之構成)   接下來,針對載入埠之構成,參照圖7及圖8以下說明之。圖7為示意門被關閉的狀態之載入埠的側截面圖。圖8為示意門開啟的狀態之載入埠的側截面圖。另,圖7及圖8,是描繪成將位於載置台53的下方之外部罩4b(參照圖5)予以拆卸之狀態。
如圖7所示,載入埠4,具有沿上下方向立設之板狀的基座51、及從此基座51的上下方向的中央部分朝向前方突出形成之水平基部52。在水平基部52的上部,設有用來載置FOUP100之載置台53(載置部)。載置台53於載置了FOUP100的狀態下,可藉由載置台驅動部(未圖示)朝前後方向移動。
基座51,構成將搬送室41自外部空間隔離之隔壁33的一部分。基座51,具有於上下方向長型的略矩形平面形狀。此外,基座51,在可和被載置的FOUP100於前後方向相向之位置,形成有窗部51a。此外,基座51,於上下方向,在比水平基部52還下方位置形成有可供後述的支撐框架56移動之朝上下方向延伸之狹縫51b。狹縫51b,僅形成於在支撐框架56貫通了基座51的狀態下可上下移動之範圍,左右方向的開口幅度小。因此,收容室60的微粒不易從狹縫51b侵入至搬送室41。
載入埠4,具有可將FOUP100的蓋101開閉之開閉機構54。開閉機構54,具有可將窗部51a閉鎖之門4a、及用來使門4a驅動之門驅動機構55。門4a,構成為可將窗部51a閉鎖。此外,門4a,構成為可將FOUP100的蓋101的鎖定解除,且可保持蓋101。門驅動機構55,包含用來支撐門4a之支撐框架56、及透過滑動支撐手段57而將支撐框架56於前後方向可移動地予以支撐之可動塊58、及將此可動塊58相對於基座51於上下方向可移動地予以支撐之滑軌59。
支撐框架56,為支撐門4a的後部下方之物,為朝向下方延伸之後,通過設於基座51的狹縫51b而朝向基座51的前方伸出之略曲柄狀的板狀構件。又,用來支撐此支撐框架56之滑動支撐手段57、可動塊58及滑軌59係設於基座51的前方。也就是說,用來使門4a移動之驅動處為框體2的外側,且被收容於設於水平基部52的下方之收容室60。收容室60,是藉由水平基部52、及從水平基部52朝向下方延伸之略箱狀的罩61以及基座51而被圍繞而構成,被做成略密閉狀態。
在罩61的底壁61a,連接有上述的排出管49。亦即,收容室60與排出管49被連接。本實施形態中,3個載入埠4的任一者中,收容室60與排出管49皆被連接。如此一來,便可透過各載入埠4的收容室60將循環路徑40的氣體從排出管49排出。因此,從排出管49排出氣體時,存在於各收容室60內的微粒亦可和氣體一起排出。此外,在收容室60內同時也是底壁61a上,設有和排出管49相向之風扇62。像這樣,風扇62被設於收容室60內,藉此會抑制微粒的揚起,同時變得容易從收容室60將氣體排出至排出管49。假設設有將搬送室41內的氣體朝向收容室60送出之風扇的情形下,搬送室41內的氣流會變得容易發生紊亂,搬送室41內的微粒變得容易被揚起,但本實施形態中是在收容室60內配置風扇62,因此可抑制將搬送室41內的微粒揚起。此外,本實施形態中,係採用將氣體排出手段(排出管49)的連接處(風扇62的設置處)設於構成收容室60之壁面當中的底壁61a之構成,以便和狹縫51b所造成的連通處拉開距離。
接著,針對FOUP100的蓋101及門4a的開閉動作,以下說明之。首先,如圖7所示,將在自基座51相隔距離的狀態下被載置於載置台53上之FOUP100,令載置台53朝向後方移動,使蓋101與門4a抵接。此時,藉由開閉機構54的門4a解除FOUP100的蓋101的鎖定,且令蓋101保持。
接下來,如圖8所示,令支撐框架56朝向後方移動。如此一來,門4a及蓋101朝後方移動。如此,FOUP100的蓋101開啟同時門4a開啟,框體2的搬送室41和FOUP100連通。
接下來,如圖8所示,令支撐框架56朝下方移動。如此一來,門4a及蓋101朝下方移動。如此,FOUP100身為搬出入口而大幅開放,變得可在FOUP100與EFEM1之間進行晶圓W的移動。另,當將蓋101及門4a關閉的情形下,進行和上述相反的動作即可。此外,載入埠4的一連串動作,是藉由載入埠控制部12而被控制。
如以上所述,按照本實施形態之EFEM1,構成氣體排出手段之排出管49,連接至供開閉機構54的一部分收容之載入埠4的收容室60。如此一來,當將循環路徑40置換成氮環境時,收容室60內亦變得容易迅速置換成氮環境。例如,於EFEM1啟動時(包含進行了維護後),從供給管47(惰性氣體供給手段)供給氮而藉由排出管49(氣體排出手段)排出氣體(gas),藉此會變得容易將搬送室41及收容室60迅速地置換成氮環境。因此,能夠縮短開始晶圓W(基板)的搬送等作業所需之時間。此外,於氣體排出時變得亦可排出收容室60內的微粒,於開閉機構54動作時收容室60內的微粒變得不易揚起,微粒變得不易侵入至搬送室41內。
此外,具有設於搬送室41的上部而送出氮之開口37a(氣體送出口)、及設於搬送室41的下部而吸引氮之開口28a(氣體吸引口)、及令來自開口28a的氮往開口37a返回之返回路徑43(氣體返回路徑),在風扇44a與開口37a(氣體送出口)之間設有過濾器44b,藉此會在搬送室41產生除去微粒之氮的下降氣流,同時可通過返回路徑43令氮循環。因此,會抑制氮的消費,可削減成本。
此外,排出管49連接至底壁61a。如此一來,可將收容室60內的微粒同時也是累積在下方的微粒有效地排出。
此外,排出管49連接至配置於框體2的前方之載入埠4,因此排出管49的配管佈局的自由度會提升。例如,當排出管連接至框體2的後端的情形下,恐會和基板處理裝置6干涉,當連接至框體2的左端或右端的情形下EFEM1本體的左右方向之設置面積會變大等,配管佈局容易發生侷限。
以上,已針對本發明的良好實施形態說明,但本發明並非限於上述的實施形態,凡申請專利範圍中有所記載皆可做各式各樣的變更。上述的實施形態中,雖設有風扇62,但亦可不設置風扇62,而是將吸引手段(例如工廠等的抽真空)與排出管49連接。此外,排出管49,只要是與收容室60連接,則亦可與底壁61a以外的部分連接。
此外,本實施形態中,是將風扇62的旋轉數設為一定,再藉由控制排出閥113的開度來進行從收容室60的氣體的排出量之調節,但亦可藉由控制風扇62的旋轉數來進行從收容室60的氣體的排出量之調節。
此外,在框體2內亦可不設有返回路徑43。亦即,亦可不令氮(惰性氣體)循環。此外,形成於柱21~24及連結管27的內部之空間21a~24a,27a是設計為返回路徑43,但並不限於此。也就是說,返回路徑43亦可藉由其他構件來形成。
此外,亦可為有別於上述的氣體排出手段而將氣體排出手段設於返回路徑之構成。
此外,本實施形態中,是將被搬送物品訂為半導體基板,而利用對設有具備收容室60的載入埠4之EFEM1供給惰性氣體之構成來說明,但並不限於此。例如,作為被搬送物品亦可為醫藥品或檢體、細胞等,作為被供給的氣體亦可為進行除菌(除染)之除染氣體(例如H2 O2 氣體)或二氧化碳濃度受到管理之氣體。
搬送系統,其特徵亦可為,具備:容器開閉裝置,具備有用來開閉供被搬送物品收納的容器的蓋之開閉機構;及框體,鄰接於容器開閉裝置而設置,在內部構成用來搬送被搬送物品之搬送室;及氣體供給手段,用來對前述搬送室內供給規定的氣體;及氣體排出手段,用來排出前述搬送室內的氣體;前述容器開閉裝置,具備收容前述開閉機構的一部分,而和前述搬送室連通之收容室,前述氣體排出手段,連接至前述收容室,以便透過前述收容室排出前述搬送室內的氣體。
1‧‧‧EFEM
2‧‧‧框體
3‧‧‧搬送機器人(基板搬送裝置)
4‧‧‧載入埠
28a‧‧‧開口(氣體吸引口)
33‧‧‧隔壁(側壁)
37a‧‧‧開口(氣體送出口)
41‧‧‧搬送室
43‧‧‧返回路徑(氣體返回路徑)
44b‧‧‧過濾器
47‧‧‧供給管(惰性氣體供給手段)
49‧‧‧排出管(氣體排出手段)
53‧‧‧載置台(載置部)
54‧‧‧開閉機構
60‧‧‧收容室
61a‧‧‧底壁
62‧‧‧風扇
100‧‧‧FOUP
101‧‧‧蓋(蓋部)
W‧‧‧晶圓(基板)
[圖1]本發明的一實施形態之EFEM及其周邊的概略構成示意平面圖。   [圖2]圖1所示EFEM的電性構成示意圖。   [圖3]從前方觀看圖1所示框體時的正面圖。   [圖4]沿圖3所示IV-IV線的截面圖。   [圖5]沿圖3所示V-V線的截面圖。   [圖6]沿圖3所示VI-VI線的截面圖。   [圖7]示意門被關閉的狀態之載入埠的側截面圖。   [圖8]示意門開啟的狀態之載入埠的側截面圖。

Claims (5)

  1. 一種EFEM,其特徵為,具備:   載入埠;及   框體,藉由前述載入埠連接至設於側壁之開口而被閉鎖,而在內部構成用來搬送基板之搬送室;及   基板搬送裝置,配置於前述搬送室內,進行前述基板的搬送;及   惰性氣體供給手段,用來對前述搬送室供給惰性氣體;及   氣體排出手段,用來將前述搬送室內的氣體排出;   前述載入埠,具有可開閉被載置的FOUP的蓋部之開閉機構、及和前述搬送室連通且收容前述開閉機構的一部分之收容室,   前述氣體排出手段,連接至前述收容室,以便透過前述收容室將前述搬送室內的氣體排出。
  2. 如申請專利範圍第1項所述之EFEM,其中,更具備:   氣體送出口,設於前述搬送室的上部,對該搬送室內送出惰性氣體;及   氣體吸引口,設於前述搬送室的下部,吸引該搬送室內的惰性氣體;及   氣體返回路徑,令從前述氣體吸引口被吸引的惰性氣體往前述氣體送出口返回;及   過濾器,除去從前述氣體送出口送出的惰性氣體中含有之微粒。
  3. 如申請專利範圍第1或2項所述之EFEM,其中,在前述收容室,設有將惰性氣體送至前述氣體排出手段之風扇。
  4. 如申請專利範圍第1~3項中任一項所述之EFEM,其中,前述收容室,配置於比載置前述FOUP的載置部還下方,   前述氣體排出手段,連接至構成前述收容室之底壁。
  5. 如申請專利範圍第1~4項中任一項所述之EFEM,其中,在前述框體,設有複數個前述載入埠,   前述氣體排出手段,連接至前述載入埠的各自的前述收容室。
TW107128714A 2018-03-15 2018-08-17 設備前端模組 TWI787327B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-048467 2018-03-15
JP2018048467A JP6963179B2 (ja) 2018-03-15 2018-03-15 Efem

Publications (2)

Publication Number Publication Date
TW201939652A true TW201939652A (zh) 2019-10-01
TWI787327B TWI787327B (zh) 2022-12-21

Family

ID=67907111

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107128714A TWI787327B (zh) 2018-03-15 2018-08-17 設備前端模組
TW111144341A TW202333278A (zh) 2018-03-15 2018-08-17 搬送系統,容器開閉裝置

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111144341A TW202333278A (zh) 2018-03-15 2018-08-17 搬送系統,容器開閉裝置

Country Status (6)

Country Link
US (2) US11495481B2 (zh)
JP (1) JP6963179B2 (zh)
KR (1) KR20200129110A (zh)
CN (1) CN111868911B (zh)
TW (2) TWI787327B (zh)
WO (1) WO2019177045A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11610797B2 (en) * 2018-11-28 2023-03-21 Sinfonia Technology Co., Ltd. Wafer stocker
KR102227652B1 (ko) * 2020-05-20 2021-03-12 이건희 흄 기류 흡입수단을 가지는 이에프이엠
CN112242338B (zh) * 2020-12-18 2021-03-02 西安奕斯伟硅片技术有限公司 能够保持foup的盖部的洁净度的装载端口及设备前端模块
KR102513857B1 (ko) * 2021-01-14 2023-03-27 에스케이실트론 주식회사 기류 순환 시스템 및 이를 구비한 파이널 폴리싱 장치
US20220285193A1 (en) * 2021-03-04 2022-09-08 Applied Materials, Inc. Shortened load port for factory interface

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5326316A (en) * 1991-04-17 1994-07-05 Matsushita Electric Industrial Co., Ltd. Coupling type clean space apparatus
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JP4502411B2 (ja) * 1996-12-05 2010-07-14 大日本スクリーン製造株式会社 基板処理装置
JP4552362B2 (ja) * 2000-06-14 2010-09-29 シンフォニアテクノロジー株式会社 ウェハ搬送装置
JP2003007799A (ja) * 2001-06-21 2003-01-10 Tokyo Electron Ltd 処理システム
JP3880343B2 (ja) * 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
KR100505061B1 (ko) * 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
JP4251580B1 (ja) * 2008-01-08 2009-04-08 Tdk株式会社 被収容物搬送システム
JP5093621B2 (ja) * 2009-09-18 2012-12-12 Tdk株式会社 ロードポート装置及び該ロードポート装置の排塵方法
TWI635552B (zh) 2013-12-13 2018-09-11 昕芙旎雅股份有限公司 設備前端模組(efem)
JP6349750B2 (ja) 2014-01-31 2018-07-04 シンフォニアテクノロジー株式会社 Efem
JP6291878B2 (ja) * 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
JP6556148B2 (ja) * 2014-09-05 2019-08-07 ローツェ株式会社 ロードポート及びロードポートの雰囲気置換方法
CN111696895A (zh) * 2014-11-25 2020-09-22 应用材料公司 具有基板载体和净化腔室环境控制的基板处理系统、设备和方法
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6536090B2 (ja) * 2015-03-06 2019-07-03 シンフォニアテクノロジー株式会社 搬送装置
JP2016178133A (ja) * 2015-03-19 2016-10-06 シンフォニアテクノロジー株式会社 ドア開閉装置、搬送装置、ソータ装置、収納容器のドッキング方法
TWI788061B (zh) * 2015-08-04 2022-12-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
TWI681915B (zh) * 2015-08-04 2020-01-11 日商昕芙旎雅股份有限公司 裝載埠
JP6679906B2 (ja) * 2015-12-11 2020-04-15 Tdk株式会社 Efem
JP2017108049A (ja) * 2015-12-11 2017-06-15 Tdk株式会社 Efemにおけるウエハ搬送部及びロードポート部の制御方法
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge

Also Published As

Publication number Publication date
KR20200129110A (ko) 2020-11-17
CN111868911B (zh) 2024-04-09
US20230077810A1 (en) 2023-03-16
TW202333278A (zh) 2023-08-16
CN111868911A (zh) 2020-10-30
WO2019177045A1 (ja) 2019-09-19
TWI787327B (zh) 2022-12-21
US20210028031A1 (en) 2021-01-28
JP2019161119A (ja) 2019-09-19
US11495481B2 (en) 2022-11-08
JP6963179B2 (ja) 2021-11-05

Similar Documents

Publication Publication Date Title
TWI787327B (zh) 設備前端模組
JP7037049B2 (ja) Efem
JP2003124284A (ja) 基板処理装置および半導体装置の製造方法
TWI788422B (zh) Efem及efem之氣體置換方法
JP7445138B2 (ja) ウェーハストッカ
KR20240041902A (ko) 이에프이엠
JP5164416B2 (ja) 基板処理装置、収納容器の搬送方法および半導体装置の製造方法
JP7277813B2 (ja) 搬送システム及び容器開閉装置
JP2023083554A (ja) Efem
TW201939649A (zh) 設備前端模組
JP2013161837A (ja) 半導体製造装置、基板移載装置及び半導体装置の製造方法
CN118073256A (zh) 设备前端模块
JP2001291765A (ja) 基板収容ボックス及び処理システム