TW201834079A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW201834079A
TW201834079A TW106130026A TW106130026A TW201834079A TW 201834079 A TW201834079 A TW 201834079A TW 106130026 A TW106130026 A TW 106130026A TW 106130026 A TW106130026 A TW 106130026A TW 201834079 A TW201834079 A TW 201834079A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
interlayer dielectric
forming
gap
Prior art date
Application number
TW106130026A
Other languages
English (en)
Other versions
TWI662627B (zh
Inventor
李威養
楊豐誠
林仲德
陳燕銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201834079A publication Critical patent/TW201834079A/zh
Application granted granted Critical
Publication of TWI662627B publication Critical patent/TWI662627B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種方法,包括形成閘極結構於基板上;形成覆蓋閘極結構的側壁之封合間隙壁;形成覆蓋封合間隙壁的側壁之犧牲間隙壁;形成源極區域及汲極區域將位於閘極結構下之通道區夾在中間;以及沉積覆蓋犧牲間隙壁的側壁之接觸蝕刻停止層。此方法還包括去除犧牲間隙壁以形成溝槽,其中溝槽使接觸蝕刻停止層的側壁和封合間隙壁的側壁暴露出來;以及沉積層間介電層,其中層間介電層覆蓋溝槽,從而界定在溝槽內的氣隙。

Description

半導體裝置及其形成方法
本發明實施例係有關一種半導體裝置及其形成方法,特別是關於一種具有氣隙之半導體裝置及其形成方法。
半導體積體電路(integrated circuit,IC)產業已經歷了指數的成長。積體電路的材料和設計的技術進步,已經產生了數代的積體電路,其中每一代具有比上一代更小且更複雜的電路。在積體電路發展的過程中,隨著幾何尺寸(即,使用製造製程可製造之最小元件(或線路))減小,功能密度(即,單位晶片面積之互連裝置之數目)普遍地增加。這種縮小過程通常藉由提高生產效率及降低相關成本而提供益處。這種縮小過程亦增大了積體電路處理及製造之複雜性,而為了實現此進展,積體電路的加工和製造之類似發展係需要的。
例如,為了提高切換速度(switching speed)、降低切換功耗(switching power consumption)和/或降低電晶體的耦合雜訊(coupling noise),通常期望減小場效電 晶體的特徵之中的雜散電容,例如在閘極結構和源極/汲極接觸件之間的電容。某些低k材料(其介電係數(dielectric constant)低於氧化矽的介電係數)已被建議作為提供較低的相對介電常數(permittivity)之絕緣體材料,以減少雜散電容。然而,隨著半導體技術進步至較小的幾何圖形時,進一步減小了在閘極結構和源極/汲極接觸件之間的距離,導致仍然較大的雜散電容。因此,儘管電晶體形成的現有方法通常已足以滿足其預期目的,但它們在各方面並不完全令人滿意。
根據本揭露內容之多個實施方式,係提供一種形成半導體裝置的方法,包括形成一閘極結構於一基板上;形成覆蓋閘極結構的一側壁之一封合間隙壁;形成覆蓋封合間隙壁的一側壁之一犧牲間隙壁;形成一源極區域及一汲極區域將位於閘極結構下之一通道區夾在中間;沉積覆蓋犧牲間隙壁的一側壁之一接觸蝕刻停止層;去除犧牲間隙壁以形成一溝槽,其中溝槽介於接觸蝕刻停止層的一側壁與封合間隙壁的側壁之間;以及沉積一層間介電層,其中層間介電層覆蓋溝槽,從而界定在溝槽內的一氣隙。
根據本揭露內容之多個實施方式,係提供一種形成半導體裝置的方法,包括形成一閘極堆疊於一半導體基板上;形成覆蓋閘極堆疊的一側壁之一封合間隙壁;形成覆蓋封合間隙壁的一側壁之一犧牲間隙壁;形成被一通道區介 入之一源極區域及一汲極區域,通道區位於閘極堆疊下;形成覆蓋犧牲間隙壁的一側壁之一接觸蝕刻停止層;沉積一第一層間介電層於閘極堆疊之上;圖案化第一層間介電層,從而形成暴露出源極區域及汲極區域的其中一者之一開口;形成一源極/汲極接觸件於開口中;在形成源極/汲極接觸件之後,去除犧牲間隙壁以形成一溝槽,其中溝槽使接觸蝕刻停止層的一側壁和封合間隙壁的側壁暴露出來;以及沉積一第二層間介電層於源極/汲極接觸件、封合間隙壁、以及閘極堆疊之上,其中第二層間介電層密封溝槽,從而界定在溝槽內的一孔隙。
根據本揭露內容之多個實施方式,係提供一種半導體裝置,包括一基板,具有一源極區域、一汲極區域及介於源極區域及汲極區域間的一通道區;一閘極堆疊,位於通道區之上;一間隙壁層,覆蓋閘極堆疊的側壁;一源極/汲極接觸件,位於源極區域及汲極區域的其中一者之上;一接觸蝕刻停止層,覆蓋源極/汲極接觸件的側壁;以及一層間介電層,覆蓋接觸蝕刻停止層、間隙壁層、以及閘極堆疊,其中接觸蝕刻停止層和間隙壁層彼此間隔開,從而在接觸蝕刻停止層和間隙壁層之間界定一間隙,間隙被層間介電層所覆蓋。
100‧‧‧方法
102~120‧‧‧操作
200‧‧‧裝置
202‧‧‧基板
204、204a、204b‧‧‧場效電晶體
208‧‧‧閘極堆疊
210‧‧‧閘極介電層
212‧‧‧閘極電極層
214‧‧‧封合間隙壁
216‧‧‧虛擬間隙壁、犧牲間隙壁
216a‧‧‧殘餘物
218、218a‧‧‧源極/汲極區域
220‧‧‧接觸蝕刻停止層
222‧‧‧層間介電層
224‧‧‧通道區
228‧‧‧層間介電層
230‧‧‧源極/汲極通孔
232‧‧‧源極/汲極接觸件
240‧‧‧開口
250‧‧‧溝槽
252‧‧‧層間介電層
260‧‧‧距離
當結合附圖閱讀時,從以下詳細描述中可以更好地理解本揭露之各個方面。應當注意,依據業界的標準做 法,各特徵未按比例繪製。實際上,多個特徵之尺寸可任意增大或縮小,以便使論述明晰。
第1圖是根據本揭露內容的各個方面之形成半導體裝置的方法的流程圖。
依據一些實施例,第2A圖、第2B圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8A圖、第8B圖以及第9圖是根據第1圖中的方法所製造的半導體裝置的一部分的剖面示意圖。
以下揭露提供許多不同實施例或實例,以用於實現所提供標的物之不同的特徵。下文描述組件及排列之特定實例以簡化本揭露。當然,此等僅僅為實例,並不旨在限制本揭露。舉例而言,在隨後描述中的在第二特徵上方或在第二特徵上形成第一特徵可包括形成直接接觸的第一特徵和第二特徵之實施例,還可以包括在第一特徵及第二特徵之間形成額外特徵,從而使第一特徵和第二特徵不直接接觸之實施例。另外,本揭露在各實例中可重複元件符號及/或字母。此重複係出於簡化及清楚之目的,且本身不指示所論述各實施例及/或構造之間的關係。
另外,空間相對用語,諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」及類似者,在此用於簡化描述附圖所示的一個元件或特徵與另一元件(或多個元件)或特徵(或多個特 徵)之關係。除附圖中描繪之方向外,空間相對用語旨在包含於使用或操作中之裝置的不同方向。設備可為不同之方向(旋轉90度或在其他的方向),並且在此使用之空間相關描述詞也可相應地被解釋。
本揭露內容大體上涉及半導體裝置及其形成方法。更具體地,本揭露內容涉及提供在半導體製造中的方法和結構,以用於降低在閘極結構和場效電晶體(field effect transistor,FET)的源極/汲極接觸件之間的雜散電容。在形成場效電晶體時,係期望提高切換速度、降低切換功耗、以及降低耦合雜訊的。雜散電容通常對這些參數有負面影響,尤其是在閘極結構和源極/汲極接觸件之間的雜散電容。隨著半導體技術進步至較小的幾何圖形,使得閘極和源極/汲極接觸件之間的距離收縮,導致較大的雜散電容。因此,場效電晶體中的雜散電容變得更加成問題了。本揭露內容提供了解決方案,其係形成圍繞閘極結構的空氣間隔(air-spacers),而不是常規的由固體介電材料所製成的間隔(spacers),此解決方案降低了在閘極和源極/汲極接觸件之間的相對介電常數(permittivity)(或介電係數(dielectric constant)),從而降低了雜散電容。
第1圖繪示了根據本揭露內容的用於形成半導體裝置的方法100的流程圖。方法100是一實例,且其並不旨在限制超出申請專利範圍中所明確敘述之本揭露內容。可以在方法100之前、之中和之後提供額外的操作,並且對於此方法的額外實施例,所描述的一些操作可以被替換、消除 或重新定位。下面,結合第2A圖~第9圖,以描述方法100,其繪示出了根據方法100的一實施例之各種製造步驟期間的半導體裝置200的剖面示意圖。裝置200可以是在積體電路的處理期間製造的中間裝置或其一部分,其可包括靜態隨機存取記憶器(static random access memory,SRAM)和/或邏輯電路、被動元件,如電阻、電容、以及電感;以及主動元件,如p型場效電晶體(pFETs)、n型場效電晶體(nFETs)、鰭式場效電晶體(FinFETs)、金屬氧化物半導體場效電晶體(MOSFETS)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性電晶體(bipolar transistors)、高電壓電晶體(high voltage transistors)、高頻電晶體(high frequency transistors)、其它儲存單元、以及其組合。此外,為了簡化和易於理解,提供本揭露內容的各種實施例中之各種特徵,各種特徵包括電晶體、閘極堆疊、主動區域、隔離結構和其他特徵,且並不限制實施例為任何類型的裝置、任何數量的裝置、任何數量的區域或任何結構或區域的配置。
在操作102中,方法100(第1圖)提供裝置200的前驅物(第2A圖)。為了便於討論,裝置200的前驅物也被稱為裝置200。裝置200可以包括基板202和形成在其中或其上的各種特徵。在本實施例中,基板202是矽基板。可替代地,基板202可以包括另外的諸如鍺之元素半導體;包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦之化合物半導體;包括SiGe、GaAsP、AlInAs、AlGaAs、 GaInAs、GaInP和/或GaInAsP之合金半導體;或其組合。在另一替代中,基板202是絕緣層上覆半導體(semiconductor on insulator,SOI)。
在一些實施例中,基板202包括絕緣體(或隔離結構),其可由氧化矽、氮化矽、氮氧化矽、氟化物摻雜矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、低k介電材料和/或其它合適的絕緣材料所形成。絕緣體可以是淺溝槽隔離(shallow trench isolation,STI)特徵。在一實施例中,絕緣體的形成係藉由蝕刻基板202中的溝槽,在溝槽中填充絕緣材料,以及對包括絕緣材料的基板202執行化學機械平面化(chemical mechanical planarization,CMP)製程。基板202可以包括諸如場氧化(field oxide)和矽的局部氧化(Local Oxidation of Silicon,LOCOS)的其它隔離結構。基板202可以包括多層隔離結構。
在操作104中,方法100(第1圖)形成一個或多個場效電晶體204(例如場效電晶體204a和204b)於基板202(第2A圖)上。場效電晶體204可以包括n型場效電晶體、p型場效電晶體或其組合。在一些實施例中,場效電晶體204a和204b都是n型場效電晶體,或者都是p型場效電晶體。在替代實施例中,場效電晶體204a是n型場效電晶體,場效電晶體204b是p型場效電晶體。
每個場效電晶體204包括閘極堆疊208。閘極堆疊208設置在基板202之上。在不同的實施例中,閘極堆疊208是多層結構。閘極堆疊208可以包括閘極介電層210和 閘極電極層212。在一些實施例中,閘極介電層210還包括高k介電層和介於基板202和高k介電層之間的界面層。在不同的實施例中,界面層可以包括諸如氧化矽(SiO2)或氮氧化矽(SiON)之介電材料,並且其形成可藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)和/或其它合適的方法。藉由諸如原子層沉積之合適的製程,形成高k介電層。形成高k介電層的其它方法包括金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)、物理氣相沉積(physical vapor deposition,PVD)、紫外線臭氧氧化法(UV-Ozone Oxidation)和分子束磊晶(molecular beam epitaxy,MBE)。在一實施例中,高k介電材料包括氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化鈦(TiO2)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3)、其他合適的金屬氧化物或其組合。可替代地,高k介電層包括金屬氮化物或金屬矽酸鹽。
在一些實施例中,閘極電極層212可以是多晶矽層或金屬閘極電極層。金屬閘極電極層還可以包括諸如功函數金屬層(work function metal layer)和金屬填充層(metal fill layer)之多層。功函數金屬層可以包括p型功函數金屬層或n型功函數金屬層。p型功函數金屬層包括金屬,其選自但不限於氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鎢(W)、鉑(Pt)或其組合的群組。n型功函數金屬層包括金屬,其選自但不限於鈦(Ti)、鋁(Al)、碳化鉭 (TaC)、碳氮化鉭(TaCN)、鉭矽氮化物(TaSiN)或其組合的群組。p型或n型功函數金屬層可以進一步包括多個層,並且可以藉由化學氣相沉積、物理氣相沉積和/或其它合適的製程來沉積。一個或多個金屬層可以包括鋁(Al)、鎢(W)、鈷(Co)、銅(Cu)和/或其它合適的材料,並且可以藉由化學氣相沉積、物理氣相沉積、電鍍(plating)和/或其他合適的製程來形成。金屬填充層可以包括鋁(Al)、鎢(W)或銅(Cu)和/或其它合適的材料。金屬填充層可以通過化學氣相沉積、物理氣相沉積、電鍍和/或其他合適的製程來形成。
在每個閘極堆疊208的側壁上形成閘極間隙壁。參考第2A圖,在不同實施例中,閘極間隙可以包括諸如封合間隙壁214和虛擬間隙壁216之多個層。封合間隙壁214包括諸如氧化矽(SiO2)、氮化矽(SiN)、氮氧化矽(SiON)、碳氮化矽(SiCN)、碳氧氮化矽(SiCON)、其它介電材料或其組合之介電材料。封合間隙壁214保護閘極堆疊208的四個大致垂直之側面。虛擬間隙壁216可以由氧化矽(SiO2)、氧化鋁(AlO)、氮化矽(SiN)、氮氧化矽(SiON)、碳氮化矽(SiCN)、碳氧氮化矽(SiCON)組成。通常,選擇封合間隙壁214和虛擬間隙壁216的成分,以使封合間隙壁214相較於虛擬間隙壁216具有較高的蝕刻選擇性。在隨後的方法100的操作中,將移除虛擬間隙壁216,以形成作為空氣間隔的孔隙(void),而封合間隙壁214基本上保持不變。因此,虛擬間隙壁216亦稱為犧牲間隙壁216。稍後將詳細描述空氣間隔的形成。在一實例中,封合間隙壁 214的形成係藉由以化學氣相沉積製程在裝置200之上毯覆式沉積介電層(例如具有基本上均勻厚度的氮化矽層),然後各向異性蝕刻去除介電層的部分以形成封合間隙壁214。犧牲間隙壁216可以用類似的製程來形成。在一些實施例中,犧牲間隙壁216具有在約2nm至約4nm範圍內的厚度。
在基板202中,也形成源極/汲極(S/D)區域218。源極/汲極區域218可以是用於形成主動元件的n型摻雜區域和/或p型摻雜區域。源極/汲極區域218可以包括重摻雜源極/汲極(heavily doped S/D,HDD)、輕摻雜源極/汲極(lightly doped S/D,LDD)、提升式區域(raised regions)、應變區域(strained regions)、磊晶成長區域(epitaxially grown regions)和/或其它合適的特徵。可以藉由蝕刻和磊晶生長、源極/汲極植入、源極/汲極活化和/或其它合適的製程來形成源極/汲極區域218。在一實施例中,源極/汲極區218還包括矽化或鍺矽化。例如,可以通過製程來形成矽化,此製程包括沉積金屬層;退火金屬層,以使金屬層能夠與矽反應而形成矽化物;然後移除未反應的金屬層。在一實施例中,裝置200包括用於形成諸如鰭式場效電晶體之多閘極場效電晶體的類鰭式主動區域。進一步地,在此實施例中,可以在鰭片中或鰭片上形成源極/汲極區域218和通道區224。通道區224位於閘極堆疊208下,並介於一對源極/汲極區域218之間。當半導體裝置200導通時(例如藉由加偏壓於閘極電極層212),通道區224在各別的源極/汲極區域218之間傳導電流。
仍然參考第2A圖,在本實施例中,源極/汲極區域218的形成,首先是藉由蝕刻基板202中的源極/汲極凹部(recesses),其次是在各別的凹部中磊晶成長源極/汲極區域218。基於源極/汲極凹部的輪廓,源極/汲極區域218可以具有基本上U形的輪廓,並且每個源極/汲極區域218的側壁基本上與犧牲間隙壁216的邊緣(或外邊界)對齊。各別的側壁與閘極堆疊208相隔距離260。在一些實施例中,距離260在約2nm至約10nm的範圍內。在間隙214/216較所期望厚度還厚,從而擴大了距離260,並且期望距離260仍落在較短的範圍內的一些實施例中,可以形成具有大致呈菱形輪廓的源極/汲極區域218,例如第2B圖中的源極/汲極區域218a。參考第2B圖,源極/汲極區域218a的一些側壁位於間隙214/216下方,並朝著閘極堆疊208延伸。在一實例中,以蝕刻製程形成源極/汲極凹部,此蝕刻製程包括乾式蝕刻和濕式蝕刻製程,其中調整蝕刻參數(例如所使用的蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、電源功率,射頻(RF)偏壓、射頻偏功率、蝕刻劑流速和其它合適的參數)以達到所需的凹部輪廓。為方便討論,使用如第2A圖所示的具有源極/汲極區域的裝置200作為後續操作的實例。所屬技術領域中具有通常知識者應理解,亦可於後續操作中,使用具有如第2B圖所示形狀的源極/汲極區域的裝置200。
參考第2A圖,在本實施例中,裝置200包括在基板202之上和犧牲間隙壁216的側壁上的接觸蝕刻停止 (contact etch stop,CES)層220,並且進一步包括在接觸蝕刻停止層220之上的層間介電(inter-layer dielectric,ILD)層222。接觸蝕刻停止層220可以包括諸如氮化矽(SiN)、氧化矽(SiO2)、氮氧化矽(SiON)、碳氮化矽(SiCN)、碳氧氮化矽(SiCON)、其他介電材料或其組合之介電材料。接觸蝕刻停止層220可以藉由電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)製程和/或其它合適的沉積或氧化製程所形成。層間介電層222可以包括諸如氧化矽、摻雜氧化矽(如硼磷矽玻璃(borophosphosilicate glass,BPSG))、四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃、熔融矽石玻璃(fused silica glass,FSG)、磷矽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG)、低k介電材料和/或其它合適的介電材料之材料。可以藉由電漿輔助化學氣相沉積製程、可流動式化學汽相沉積(flowable CVD,FCVD)製程或其他合適的沉積技術來沉積層間介電層222。選擇接觸蝕刻停止層220和層間介電層222的成分,以使接觸蝕刻停止層220相較於層間介電層222具有一定的蝕刻選擇性。在一實施例中,接觸蝕刻停止層220作為毯覆層而沉積在基板202之上,並覆蓋基板上的各種結構,且層間介電層222沉積在接觸蝕刻停止層220之上。隨後,方法100(第1圖)繼續進行到操作106,其藉由執行化學機械平面化製程以研磨層間介電層222,並暴露閘極 堆疊208(第2A圖)。作為結果,接觸蝕刻停止層220的部分保留在相鄰的犧牲間隙壁216之間的基板202之上。
在操作108中,方法100(第1圖)形成另一個層間介電層228於裝置200(第3圖)之上。層間介電層228可以包括氧化矽、低k介電材料或其它合適的介電材料,此層間介電層228可藉由化學氣相沉積或其它合適方法形成。例如,層間介電層228可以藉由電漿輔助化學氣相沉積製程、可流動式化學汽相沉積製程或其它合適的沉積製程來形成。在一些實施例中,層間介電層228可以包括與層間介電層222不同或相同的材料。化學機械平面化製程可接在操作108之後,以除去過量的介電材料。
在操作110中,方法100(第1圖)圖案化層間介電層228以形成在源極/汲極區域218(第4圖)之上的源極/汲極通孔230。在一實施例中,操作110包括光刻製程和蝕刻製程。光刻製程可以包括形成光阻(或抗蝕劑)於層間介電層228之上;曝光抗蝕劑於一圖案,其界定源極/汲極通孔230的各種幾何形狀;執行曝光後烘烤製程;以及顯影抗蝕劑以形成包括抗蝕劑的罩幕元件。接著,使用罩幕元件(或其衍生物),蝕刻層間介電層228中的凹部。隨後去除罩幕元件(例如圖案化的抗蝕劑)。蝕刻製程可以包括一個或多個乾式蝕刻製程、濕式蝕刻製程和其它合適的蝕刻技術。例如,蝕刻製程可以包括二階段蝕刻。第一蝕刻階段去除層間介電層228和222的部分以暴露接觸蝕刻停止層220的底部,而第二蝕刻階段去除接觸蝕刻停止層220的底部,從而 暴露源極/汲極區域218的部分。在一些實施例中,在操作110中,基本上完全地去除層間介電層222。
在操作112中,方法100(第1圖)形成一個或多個源極/汲極接觸件232於源極/汲極通孔230(第5圖)中。在一實施例中,源極/汲極接觸件232包括諸如鎢(W)、鋁(Al)、銅(Cu)、其組合或其它合適的導電材料之金屬。在一實施例中,使用諸如化學氣相沉積、物理氣相沉積、電鍍和/或其他合適的製程來沉積接觸金屬。化學機械平面化製程可以接在操作112之後,以去除過量的金屬。
在操作114中,如第6圖所示,去除層間介電層228,形成開口240,其暴露層214、216、220、以及閘極堆疊208。在一實施例中,操作114包括蝕刻製程,此蝕刻製程經調整,以蝕刻層間介電層228,而其它層214、216、220、以及閘極堆疊208在蝕刻製程中基本上保持不變。在實施例中,操作114可以使用乾式蝕刻、濕式蝕刻或其它合適的蝕刻製程。
方法100(第1圖)繼續進行到操作116,其形成用於產生空氣間隔結構的溝槽250(第7圖)。具體地,藉由蝕刻犧牲間隙壁216來形成溝槽250。在一實施例中,溝槽250被空氣所填充,形成在封合間隙壁214和接觸蝕刻停止層220之間的氣隙。封合間隙壁214和接觸蝕刻停止層220的側壁暴露在溝槽250中。
通常,選擇封合間隙壁214和接觸蝕刻停止層220的成分,以使封合間隙壁214和接觸蝕刻停止層220相 較於犧牲間隙壁216具有較高的蝕刻選擇性。作為結果,蝕刻製程可以移除犧牲間隙壁216,而封合間隙壁214和接觸蝕刻停止層220保持相對和/或基本上不變的厚度。在一些實施例中,封合間隙壁214和接觸蝕刻停止層220包含氮化物(或富氮),犧牲間隙壁216包含氧化物(或富氧)。例如,每個封合間隙壁214和接觸蝕刻停止層220可以包含選自氮化矽、碳氮化矽、氮氧化矽、碳氧氮化矽(調整為富氮)、以及其組合的群組之成分,而犧牲間隙壁216可以包含選自氧化矽、氧化鋁、碳氧氮化矽(調整為富氧)、以及其組合的群組之成分。封合間隙壁214和接觸蝕刻停止層220可以包含相同或不同的材料。在一具體實施例中,封合間隙壁214包含氮化矽,接觸蝕刻停止層220包含碳氮化矽,犧牲間隙壁216包含氧化鋁。在另一具體實施例中,封合間隙壁214包含碳氮化矽,接觸蝕刻停止層220包含碳氧氮化矽,犧牲間隙壁216包含氧化鋁。在替代實施例中,封合間隙壁214和接觸蝕刻停止層220包含氧化物(或富氧),犧牲間隙壁216包含氮化物(或富氮)。例如,每個封合間隙壁214和接觸蝕刻停止層220可以包含選自氧化矽、氧化鋁、碳氧氮化矽(調整為富氧)、以及其組合的群組之成分,而犧牲間隙壁216可以包含選自氮化矽、碳氮化矽、氮氧化矽、碳氧氮化矽(調整為富氮)、以及其組合的群組之成分。在另一具體實施例中,封合間隙壁214包含氧化矽,接觸蝕刻停止層220包含碳氧氮化矽,犧牲間隙壁216包含氮化矽。
在實施例中,操作116使用具蝕刻劑的蝕刻製程來選擇性地去除犧牲間隙壁216。操作116可以使用乾式蝕刻、濕式蝕刻或其它合適的蝕刻製程。例如,乾式蝕刻製程可以實施含氧氣體、含氟氣體(例如CF4、SF6、CH2F2、CHF3和/或C2F6)、含氯氣體(例如Cl2、CHCl3、CCl4和/或BCl3)、含溴氣體(例如HBr和/或CHBr3)、含碘氣體、其他合適的氣體和/或電漿和/或其組合。例如,濕式蝕刻製程可以包括在稀釋氫氟酸(diluted hydrofluoric acid,DHF);氫氧化鉀(KOH)溶液;氨;包含氫氟酸(HF)、硝酸(HNO3)和/或乙酸(CH3COOH)的溶液;或其它合適的濕式蝕刻劑中進行蝕刻。在一實例中,犧牲間隙壁216包含氧化矽,並且濕式蝕刻製程包括施用稀釋氫氟酸。在另一實例中,犧牲間隙壁216包含氧化鋁,濕式蝕刻製程包括施用諸如SC1溶液(NH4OH:H2O2:H2O)之氨和過氧化氫的混合物(APM)。在另一實例中,犧牲間隙壁216包含氮化矽,濕式蝕刻製程包括施用包含H3PO4的酸。
方法100(第1圖)繼續進行到操作118,其中為了形成氣隙(空隙),而形成帽蓋結構(cap structure)於溝槽250上。具體地,如第8A圖所示,沉積層間介電層252於裝置200上方。層間介電層252亦為形成氣隙而形成帽蓋或上壁於溝槽250中。在一實施例中,藉由化學氣相沉積、物理氣相沉積、塗佈製程和/或其它合適的製程來形成層間介電層252。在一實施例中,藉由化學氣相沉積製程來沉積層間介電層252。調整層間介電層252的形成,以有效地關閉溝 槽250,產生氣隙。以某種方式調整化學氣相沉積製程中的參數(例如壓力、溫度、以及氣體黏度),以使沉積介電材料的間隙填充行為保持氣隙而沒有填滿溝槽250。在本實施例中,化學氣相沉積製程採用壓力小於約0.75torr,溫度高於約75℃之設置。因此,可以在溝槽250的上部沉積層間介電層252的介電材料,以封閉溝槽250的開口,而沒有顯著量的介電材料沉積在溝槽250的下部。在層間介電層252的介電材料之下與在封合間隙壁214和接觸蝕刻停止層220之間可以因此形成各氣隙。封合間隙壁214和接觸蝕刻停止層220的側壁暴露在氣隙中。在沉積層間介電層252的介電材料期間,所使用的諸如一種(或多種)氣體或其他任何可以擴散到氣隙之物種,可能存在氣隙中。層間介電層252從氣隙橫向地延伸到封合間隙壁214和閘極堆疊208的頂表面。層間介電層252還覆蓋了接觸蝕刻停止層220和源極/汲極接觸件232。在一些實施例中,層間介電層252可以包括氮化矽、氮氧化矽、碳氮化矽。在一些實施例中,層間介電層252可以包括氧化物,諸如四乙氧基矽烷、硼磷矽玻璃、氟化物摻雜矽酸鹽玻璃、磷矽玻璃、以及硼摻雜矽玻璃。層間介電層252可以包括與層間介電層228不同或相同的材料。在本實施例中,層間介電層252是氧化矽層。
仍然參考第8A圖,在一些實施例中,在蝕刻犧牲層216之後,基板202暴露於溝槽250中。因此,溝槽250中界定的氣隙從間隙壁層214的側壁水平地跨越到接觸蝕刻停止層220的側壁,並且從基板202的頂表面垂直地跨越 到層間介電層252的底表面。在替代實施例中,如第8B圖所示,在蝕刻製程中(例如藉由控制蝕刻時間),可能無法從溝槽250中完全去除犧牲層216,並具有一些留在溝槽250底部的殘餘物216a,此殘餘物216a仍然覆蓋基板202。在這種情況下,氣隙代替地從犧牲層216的底部垂直地跨越到層間介電層252的底表面。在本實施例中,氣隙具有在約2nm至約4nm範圍內的寬度。氣隙形成圍繞閘極堆疊208的空氣間隔結構,其有助於降低在閘極堆疊208和源極/汲極接觸件232之間的材料層的有效介電係數,從而減少各別的雜散電容。
在操作120中,方法100(第1圖)執行另一個化學機械平面化製程,以研磨層間介電層252並暴露源極/汲極接觸件232(第9圖)。雖然第1圖未顯示,但方法100可以進行進一步的處理,以完成裝置200的製造。例如,方法100可以形成多層互連結構,此互連結構將閘極堆疊208和源極/汲極接觸件232連接到裝置200的其它部分,以形成一個完整的積體電路。
雖然不旨在限制,本揭露內容的一個或多個實施例為半導體裝置及其形成提供許多益處,包括鰭式場效電晶體(FinFET)。例如,鰭片可能經圖案化,以在特徵之間產生相對較緊密的間隔,而對於此,上述揭露之內容係非常適合用於此情況的。用於形成場效電晶體的鰭片之間隙壁可以根據上述揭露進行處理。例如,本揭露內容的實施例提供了一種形成圍繞閘極堆疊的空氣間隔的方法。在閘極堆疊和 源極/汲極接觸件之間的相對介電常數(或介電係數)較低,其降低了互連件之間的干擾、雜訊以及寄生耦合電容。此外,所揭露的方法可以輕易地與現有的半導體製造製程合併使用。
在一例示性方面,本揭露內容涉及一種方法。此方法包括形成閘極結構於基板上;形成覆蓋閘極結構的側壁之封合間隙壁;形成覆蓋封合間隙壁的側壁之犧牲間隙壁;形成源極區域及汲極區域將位於閘極結構下之通道區夾在中間;沉積覆蓋犧牲間隙壁的側壁之接觸蝕刻停止層;去除犧牲間隙壁以形成溝槽,其中溝槽介於接觸蝕刻停止層的側壁與封合間隙壁的側壁之間;以及沉積層間介電層,其中層間介電層覆蓋溝槽,從而界定在溝槽內的氣隙。
在另一例示性方面,本揭露內容涉及一種形成半導體裝置的方法。此方法包括形成閘極堆疊於半導體基板上;形成覆蓋閘極堆疊的側壁之封合間隙壁;形成覆蓋封合間隙壁的側壁之犧牲間隙壁;形成源極區域及汲極區域將位於閘極堆疊下之通道區夾在中間;形成覆蓋犧牲間隙壁的側壁之接觸蝕刻停止層;沉積第一層間介電層於閘極堆疊之上;圖案化第一層間介電層,從而形成暴露出源極區域及汲極區域的其中一者之開口;形成源極/汲極接觸件於開口中;在形成源極/汲極接觸件之後,去除犧牲間隙壁以形成溝槽,其中溝槽使接觸蝕刻停止層的側壁和封合間隙壁的側壁暴露出來;以及沉積第二層間介電層於源極/汲極接觸 件、封合間隙壁、以及閘極堆疊之上,其中第二層間介電層密封溝槽,從而界定在溝槽內的孔隙。
在另一例示性方面,本揭露內容涉及一種半導體裝置。此半導體裝置包括基板,具有源極區域、汲極區域及介於源極區域及汲極區域間的通道區;閘極堆疊,位於通道區之上;間隙壁層,覆蓋閘極堆疊的側壁;源極/汲極接觸件,位於源極區域及汲極區域的其中一者之上;接觸蝕刻停止層,覆蓋源極/汲極接觸件的側壁;以及層間介電層,覆蓋接觸蝕刻停止層、間隙壁層、以及閘極堆疊,其中接觸蝕刻停止層和間隙壁層彼此間隔開,從而在接觸蝕刻停止層和間隙壁層之間界定間隙,間隙被層間介電層所覆蓋。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露之各方面。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的和/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。

Claims (10)

  1. 一種形成半導體裝置的方法,包括:形成一閘極結構於一基板上;形成覆蓋該閘極結構的一側壁之一封合間隙壁;形成覆蓋該封合間隙壁的一側壁之一犧牲間隙壁;形成一源極區域及一汲極區域將位於該閘極結構下之一通道區夾在中間;沉積覆蓋該犧牲間隙壁的一側壁之一接觸蝕刻停止層;去除該犧牲間隙壁以形成一溝槽,其中該溝槽介於該接觸蝕刻停止層的一側壁與該封合間隙壁的該側壁之間;以及沉積一層間介電層,其中該層間介電層覆蓋該溝槽,從而界定在該溝槽內的一氣隙。
  2. 如申請專利範圍第1項之方法,其中去除該犧牲間隙壁包括一蝕刻製程,其使該基板的一頂表面暴露出來,其中該氣隙從該基板的該頂表面垂直地跨越到該層間介電層的一底表面。
  3. 如申請專利範圍第1項之方法,其中去除該犧牲間隙壁包括一蝕刻製程,其保有覆蓋該溝槽的底表面之該犧牲間隙壁的一部分,其中該氣隙從該犧牲間隙壁的該部分的一頂表面垂直地跨越到該層間介電層的一底表面。
  4. 如申請專利範圍第1項之方法,其中該層間介電層從該氣隙橫向地延伸到該封合間隙壁的一頂表面,並且直接地接觸該封合間隙壁的該頂表面。
  5. 如申請專利範圍第1項之方法,在去除該犧牲間隙壁之前,進一步包括:沉積覆蓋該基板之一介電層;圖案化該介電層以形成暴露出該源極區域及該汲極區域的其中一者之一通孔;以及形成一源極/汲極接觸件於該通孔中。
  6. 一種形成半導體裝置的方法,包括:形成一閘極堆疊於一半導體基板上;形成覆蓋該閘極堆疊的一側壁之一封合間隙壁;形成覆蓋該封合間隙壁的一側壁之一犧牲間隙壁;形成被一通道區介入之一源極區域及一汲極區域,該通道區位於該閘極堆疊下;形成覆蓋該犧牲間隙壁的一側壁之一接觸蝕刻停止層;沉積一第一層間介電層於該閘極堆疊之上;圖案化該第一層間介電層,從而形成暴露出該源極區域及該汲極區域的其中一者之一開口;形成一源極/汲極接觸件於該開口中; 在形成該源極/汲極接觸件之後,去除該犧牲間隙壁以形成一溝槽,其中該溝槽使該接觸蝕刻停止層的一側壁和該封合間隙壁的該側壁暴露出來;以及沉積一第二層間介電層於該源極/汲極接觸件、該封合間隙壁、以及該閘極堆疊之上,其中該第二層間介電層密封該溝槽,從而界定在該溝槽內的一孔隙。
  7. 如申請專利範圍第6項之方法,進一步包括:在去除該犧牲間隙壁之前,去除該第一層間介電層以暴露該犧牲間隙壁的一頂表面。
  8. 一種半導體裝置,包括:一基板,具有一源極區域、一汲極區域及介於該源極區域及該汲極區域間的一通道區;一閘極堆疊,位於該通道區之上;一間隙壁層,覆蓋該閘極堆疊的側壁;一源極/汲極接觸件,位於該源極區域及該汲極區域的其中一者之上;一接觸蝕刻停止層,覆蓋該源極/汲極接觸件的側壁;以及一層間介電層,覆蓋該接觸蝕刻停止層、該間隙壁層、以及該閘極堆疊,其中該接觸蝕刻停止層和該間隙壁層彼此間隔開,從而在該接觸蝕刻停止層和該間隙壁層之間界定一間隙,該間隙被該層間介電層所覆蓋。
  9. 如申請專利範圍第8項之半導體裝置,其中該間隙從該基板的一頂表面垂直地跨越到該層間介電層的一底表面。
  10. 如申請專利範圍第8項之半導體裝置,進一步包括:一介電層,位於該基板之上方,且介於該間隙壁層和該接觸蝕刻停止層之間,其中該間隙從該介電層的一頂表面垂直地跨越到該層間介電層的一底表面。
TW106130026A 2016-12-14 2017-09-01 半導體裝置及其形成方法 TWI662627B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434336P 2016-12-14 2016-12-14
US62/434,336 2016-12-14
US15/623,539 US10522642B2 (en) 2016-12-14 2017-06-15 Semiconductor device with air-spacer
US15/623,539 2017-06-15

Publications (2)

Publication Number Publication Date
TW201834079A true TW201834079A (zh) 2018-09-16
TWI662627B TWI662627B (zh) 2019-06-11

Family

ID=62489621

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106130026A TWI662627B (zh) 2016-12-14 2017-09-01 半導體裝置及其形成方法

Country Status (4)

Country Link
US (2) US10522642B2 (zh)
KR (1) KR102102729B1 (zh)
CN (1) CN108231664B (zh)
TW (1) TWI662627B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI681550B (zh) * 2019-03-14 2020-01-01 旺宏電子股份有限公司 立體記憶體元件及其製作方法
US10910399B2 (en) 2019-03-14 2021-02-02 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
TWI729525B (zh) * 2018-10-19 2021-06-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
TWI749425B (zh) * 2019-07-22 2021-12-11 南亞科技股份有限公司 半導體元件的製備方法
TWI755831B (zh) * 2019-08-30 2022-02-21 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
TWI756853B (zh) * 2019-09-30 2022-03-01 台灣積體電路製造股份有限公司 半導體結構及其形成方法
TWI777224B (zh) * 2019-08-23 2022-09-11 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
TWI779103B (zh) * 2018-09-26 2022-10-01 聯華電子股份有限公司 半導體結構及其製造方法
TWI811657B (zh) * 2020-04-09 2023-08-11 台灣積體電路製造股份有限公司 半導體元件及其形成方法

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10840352B2 (en) * 2015-12-22 2020-11-17 Intel Corporation Nanowire transistors with embedded dielectric spacers
CN109904120B (zh) * 2017-12-11 2021-12-14 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US10522649B2 (en) * 2018-04-27 2019-12-31 International Business Machines Corporation Inverse T-shaped contact structures having air gap spacers
US10608096B2 (en) * 2018-06-11 2020-03-31 International Business Machines Corporation Formation of air gap spacers for reducing parasitic capacitance
US10755970B2 (en) * 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structures
CN110620129B (zh) 2018-06-20 2022-02-01 京东方科技集团股份有限公司 显示基板、其驱动方法、显示装置及高精度金属掩模板
US10854503B2 (en) * 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US10529818B1 (en) * 2018-07-26 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced flicker noise
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
US11232975B2 (en) * 2018-09-26 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator (SOI) substrate having dielectric structures that increase interface bonding strength
US10868142B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacer structure and method of forming same
US10861746B2 (en) * 2018-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
CN111696867B (zh) * 2019-03-15 2023-09-19 中芯国际集成电路制造(上海)有限公司 半导体结构及形成方法
US11482456B2 (en) * 2019-03-21 2022-10-25 Globalfoundries U.S. Inc. Forming two portion spacer after metal gate and contact formation, and related IC structure
US11232943B2 (en) 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US10832962B1 (en) * 2019-05-22 2020-11-10 International Business Machines Corporation Formation of an air gap spacer using sacrificial spacer layer
US10985051B2 (en) * 2019-07-24 2021-04-20 Nanya Technology Corporation Semiconductor device with air spacer and method for forming the same
US11145540B2 (en) 2019-08-08 2021-10-12 Nanya Technology Corporation Semiconductor structure having air gap dielectric and the method of preparing the same
CN112447584A (zh) * 2019-08-30 2021-03-05 长鑫存储技术有限公司 半导体结构及其制备方法、存储装置
US11094632B2 (en) * 2019-09-27 2021-08-17 Nanya Technology Corporation Semiconductor device with air gap and method for preparing the same
KR20210038762A (ko) 2019-09-30 2021-04-08 삼성전자주식회사 반도체 장치
US11127684B2 (en) * 2019-10-18 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-resistance interconnect structures
US11201229B2 (en) * 2019-10-18 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11127678B2 (en) * 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
US11417369B2 (en) * 2019-12-31 2022-08-16 Etron Technology, Inc. Semiconductor device structure with an underground interconnection embedded into a silicon substrate
US11329140B2 (en) * 2020-01-17 2022-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11502183B2 (en) * 2020-01-29 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap in inner spacers and methods of fabricating the same in field-effect transistors
US11417750B2 (en) 2020-01-31 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate air spacer for fin-like field effect transistor
US11665916B2 (en) * 2020-02-12 2023-05-30 Winbond Electronics Corp. Memory devices and methods for forming the same
US11715781B2 (en) * 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
CN113314457B (zh) * 2020-02-27 2023-04-18 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构
US11563001B2 (en) 2020-03-30 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and capping structures in semiconductor devices
TWI733412B (zh) 2020-04-13 2021-07-11 華邦電子股份有限公司 具有氣隙之半導體元件及其製造方法
US11335638B2 (en) * 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
US11404537B2 (en) * 2020-04-17 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with air-void in spacer
US11289584B2 (en) * 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
US11239325B2 (en) * 2020-04-28 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having backside via and method of fabricating thereof
US11380794B2 (en) * 2020-05-08 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having contact plugs with re-entrant profile
US11631612B2 (en) 2020-05-26 2023-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
DE102021107477A1 (de) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu deren herstellung
DE102020131611A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung
US11302798B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with air gate spacer and air gate cap
CN111900163B (zh) * 2020-06-19 2023-04-18 中国科学院微电子研究所 晶体管及其制备方法
US11437494B2 (en) * 2020-08-18 2022-09-06 Nanya Technology Corporation Semiconductor device with graphene-based element and method for fabricating the same
CN114695270A (zh) * 2020-12-30 2022-07-01 长鑫存储技术有限公司 半导体器件的制备方法及半导体器件
US11626482B2 (en) * 2021-03-04 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation with a spin-on dielectric material
US20220310398A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Resistance Reduction for Transistors
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11777004B2 (en) * 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US11855161B2 (en) 2021-07-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device contact structures and methods of fabricating thereof
US12002863B2 (en) 2021-08-31 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with air-gap spacers

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3238556B2 (ja) 1993-12-06 2001-12-17 株式会社東芝 不揮発性半導体記憶装置
KR100246349B1 (ko) 1997-05-24 2000-03-15 김영환 모스페트 소자 및 그 제조방법
KR20010011638A (ko) 1999-07-29 2001-02-15 김영환 반도체장치의 구조 및 그 제조방법
US7045849B2 (en) * 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
KR100487656B1 (ko) * 2003-08-12 2005-05-03 삼성전자주식회사 반도체 기판과 ″l″형 스페이서 사이에 에어 갭을구비하는 반도체 소자 및 그 제조 방법
US20070202640A1 (en) * 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7968952B2 (en) * 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
US8390079B2 (en) * 2010-10-28 2013-03-05 International Business Machines Corporation Sealed air gap for semiconductor chip
KR101164972B1 (ko) * 2010-12-31 2012-07-12 에스케이하이닉스 주식회사 에어갭 스페이서를 구비한 반도체장치 및 그 제조 방법
US20120199886A1 (en) * 2011-02-03 2012-08-09 International Business Machines Corporation Sealed air gap for semiconductor chip
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8471343B2 (en) 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
CN103390644B (zh) * 2012-05-08 2017-07-11 中国科学院微电子研究所 半导体器件及其制造方法
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8841711B1 (en) 2013-03-12 2014-09-23 Globalfoundries Inc. Methods of increasing space for contact elements by using a sacrificial liner and the resulting device
US9035365B2 (en) * 2013-05-02 2015-05-19 International Business Machines Corporation Raised source/drain and gate portion with dielectric spacer or air gap spacer
FR3011386B1 (fr) * 2013-09-30 2018-04-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor mos a espaceurs d'air
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9305835B2 (en) * 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
US9472628B2 (en) * 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
TWI663729B (zh) 2016-01-05 2019-06-21 聯華電子股份有限公司 半導體結構及其製造方法
US9685533B1 (en) * 2016-02-21 2017-06-20 United Microelectronics Corp. Transistor with SiCN/SiOCN mulitlayer spacer
US9716158B1 (en) * 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
US9608065B1 (en) * 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US9985107B2 (en) 2016-06-29 2018-05-29 International Business Machines Corporation Method and structure for forming MOSFET with reduced parasitic capacitance
US10727297B2 (en) * 2016-09-12 2020-07-28 Samsung Electronics Co., Ltd. Complimentary metal-oxide-semiconductor circuit having transistors with different threshold voltages and method of manufacturing the same
US9768118B1 (en) * 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
US9721897B1 (en) * 2016-09-27 2017-08-01 International Business Machines Corporation Transistor with air spacer and self-aligned contact
US9728621B1 (en) * 2016-09-28 2017-08-08 International Business Machines Corporation iFinFET
US10319627B2 (en) * 2016-12-13 2019-06-11 Globalfoundries Inc. Air-gap spacers for field-effect transistors

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779103B (zh) * 2018-09-26 2022-10-01 聯華電子股份有限公司 半導體結構及其製造方法
US11823958B2 (en) 2018-10-19 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI729525B (zh) * 2018-10-19 2021-06-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11069579B2 (en) 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10910399B2 (en) 2019-03-14 2021-02-02 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
TWI681550B (zh) * 2019-03-14 2020-01-01 旺宏電子股份有限公司 立體記憶體元件及其製作方法
TWI749425B (zh) * 2019-07-22 2021-12-11 南亞科技股份有限公司 半導體元件的製備方法
US11211287B2 (en) 2019-07-22 2021-12-28 Nanya Technology Corporation Semiconductor device and method for fabricating the same
TWI777224B (zh) * 2019-08-23 2022-09-11 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11901455B2 (en) 2019-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a FinFET by implanting a dielectric with a dopant
TWI755831B (zh) * 2019-08-30 2022-02-21 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
TWI756853B (zh) * 2019-09-30 2022-03-01 台灣積體電路製造股份有限公司 半導體結構及其形成方法
US11830931B2 (en) 2019-09-30 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
TWI811657B (zh) * 2020-04-09 2023-08-11 台灣積體電路製造股份有限公司 半導體元件及其形成方法
US11935932B2 (en) 2020-04-09 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
US20210273071A1 (en) 2021-09-02
TWI662627B (zh) 2019-06-11
KR20180068846A (ko) 2018-06-22
CN108231664A (zh) 2018-06-29
US20180166553A1 (en) 2018-06-14
CN108231664B (zh) 2020-08-25
KR102102729B1 (ko) 2020-04-22
US10522642B2 (en) 2019-12-31
US11830922B2 (en) 2023-11-28

Similar Documents

Publication Publication Date Title
TWI662627B (zh) 半導體裝置及其形成方法
US11495465B2 (en) Method and structure for semiconductor device having gate spacer protection layer
US11201228B2 (en) Semiconductor device with air-spacer
US10515945B2 (en) Method and structure for semiconductor mid-end-of-year (MEOL) process
US11923430B2 (en) Gate structure and patterning method for multiple threshold voltages
TWI721325B (zh) 半導體裝置及其製造方法
US20220352037A1 (en) Methods Of Forming Metal Gate Spacer
JP2022027617A (ja) マルチゲートデバイス及びその関連方法
TW202018861A (zh) 半導體結構之製造方法
US12002863B2 (en) Semiconductor device with air-gap spacers
CN109817715B (zh) 半导体器件栅极间隔件结构及其方法
TWI767417B (zh) 半導體裝置及其製造方法