TW201829292A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW201829292A
TW201829292A TW106119594A TW106119594A TW201829292A TW 201829292 A TW201829292 A TW 201829292A TW 106119594 A TW106119594 A TW 106119594A TW 106119594 A TW106119594 A TW 106119594A TW 201829292 A TW201829292 A TW 201829292A
Authority
TW
Taiwan
Prior art keywords
effect transistor
type
channel
field effect
transistor
Prior art date
Application number
TW106119594A
Other languages
English (en)
Other versions
TWI752041B (zh
Inventor
王仲盛
黃鼎盛
施教仁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201829292A publication Critical patent/TW201829292A/zh
Application granted granted Critical
Publication of TWI752041B publication Critical patent/TWI752041B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7857Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET of the accumulation type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置包括基板、位於所述基板上的第一電晶體及位於所述基板上的第二電晶體。所述第一電晶體具有第一臨界電壓,且所述第一電晶體的通道區及源極/汲極區為N型。所述第二電晶體具有第二臨界電壓,所述第二電晶體的通道區為N型且所述第二電晶體的源極/汲極區為P型,並且所述第一臨界電壓的絕對值實質上等於所述第二臨界電壓的絕對值。

Description

半導體裝置
本發明是有關於一種半導體裝置及其製造方法,且特別是有關於一種具有奈米線場效電晶體(nanowire FET;NWFET)以及累積模式場效電晶體(accumulation mode FET)的半導體裝置及其製造方法。
與具有較長通道長度的半導體裝置相比,具有較短通道長度的半導體裝置會經歷程度升高的與熱載子注入(hot carrier injection;HCI)、依時性介電崩潰(time-dependent dielectric breakdown;TDDB)及偏壓臨界值不穩定性(bias threshold instability;BTI)相關聯的裝置失效。隨著半導體裝置的技術節點(node)減小,會使用更薄的閘極介電層來減弱短通道效應(short channel effect)。閘極誘發介電損耗(Gate-induced dielectric loss;GIDL)在具有鄰接場效電晶體(field effect transistor;FET)的薄閘極介電層的半導體裝置中比在其他半導體裝置中更為普遍。
一種半導體裝置包括基板。所述半導體裝置進一步包括位於所述基板上的第一電晶體,其中所述第一電晶體具有第一臨界電壓,且所述第一電晶體的通道區及源極/汲極區為N型。所述半導體裝置進一步包括位於所述基板上的第二電晶體,其中所述第二電晶體具有第二臨界電壓,所述第二電晶體的通道區為N型且所述第二電晶體的源極/汲極區為P型,並且所述第一臨界電壓的絕對值實質上等於所述第二臨界電壓的絕對值。
以下公開內容提供用於實作所提供主題的不同特徵的許多不同的實施例或例子。以下闡述組件及構造的具體例子以簡化本公開內容。當然,這些僅為例子且不旨在進行限制。例如,以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本公開內容可能在各種例子中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“在...下方(beneath)”、“在...下麵(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使用或步驟中的不同取向。設備可具有其他取向(旋轉90度或其他取向),且本文中所用的空間相對性用語可同樣相應地進行解釋。
半導體裝置的老化相關故障(aging-related breakdown)包括例如熱載子注入(hot carrier injection;HCI)、依時性介電崩潰(time-dependent dielectric breakdown;TDDB)及偏壓溫度不穩定性(bias temperature instability)等故障機制。老化相關場效電晶體故障的速率會隨著電晶體在高溫中的累積暴露量以及電流的累積流量而增加。因熱載子注入、依時性介電崩潰及偏壓臨界值不穩定性引起的老化相關電晶體故障會隨著電晶體中通道與閘極介電材料的介面處的電流密度增加而增強。一種降低老化相關場效電晶體故障速率的因素是減弱場效電晶體中的閘極介電材料區中的電場。另一種降低老化相關場效電晶體故障速率的因素是在閘極介電層與通道的介面處傳導更少電流。
與通道包含與源極區及汲極區相反的摻質且載子是在反轉模式(inversion mode)下誘發出的其他平面金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field effect transistor,MOSFET)相比,累積模式(accumulation mode)金屬氧化物半導體場效電晶體在閘極介電層與通道區之間經歷更小的場。造成強場強度的一種因素是閘極介電層與通道區之間的介面的幾何形狀。平面金屬氧化物半導體場效電晶體在閘極介電層與通道區之間具有單一平整介面,從而在平面金屬氧化物半導體場效電晶體的步驟期間沿單一方向吸引電荷載子(charge carrier)。鰭式場效電晶體裝置及奈米線場效電晶體(nanowire FET;NWFET)構造有三維通道而非二維通道。由於閘極電極及閘極介電層環繞鰭式場效電晶體的通道的三個側,且環繞奈米線場效電晶體的通道的四個側,因而觸發電流流經通道的電場並不將所有電荷載子牽引至裝置的單一側。而是,鰭式場效電晶體及奈米線場效電晶體中的電場將電荷載子牽引至通道的多個側,從而在裝置步驟期間減小閘極介電層/通道介面處的總載子密度。因此,鰭式場效電晶體及奈米線場效電晶體的老化相關故障是以比平面金屬氧化物半導體場效電晶體低的速率發生。
利用累積模式場效電晶體(accumulation mode FET)也會實現使通道/閘極介電層介面處的載子密度減小。在其他方法中,場效電晶體在通道與源極及汲極之間的介面處具有P-N接面(junction),因為源極及汲極摻雜有一種類型的摻質且通道具有相反類型的摻質。所述P-N接面不僅在通道介面處產生恒定電壓(constant voltage),而且會增大用於觸發電流流經場效電晶體通道的臨界電壓(threshold voltage)。相比之下,累積模式場效電晶體在通道、源極及汲極中的每一者中存在有單一摻質類型。所述單一摻質類型是N型摻質或P型摻質。由於通道、源極及汲極中具有單一摻質類型,因而在通道-源極介面及通道-汲極介面處不會誘發出電壓。此外,用於觸發電流流經通道的電場的強度比其他方法中具有類似尺寸及結構的場效電晶體小。通過將源極、汲極及通道中摻質的濃度調整為不同值且通過對閘極電極中的功函數層進行選擇以在閘極電極與通道區之間引起功函數層差值,會為積體電路中的每一場效電晶體確定出臨界電壓。根據一些實施例,根據積體電路的設計特性而將N型場效電晶體(N-type FET)及P型場效電晶體(P-type FET)構造成具有不同臨界電壓。
圖1是根據一些實施例的N型累積模式場效電晶體(FET)100的剖面圖。源極102及汲極104摻雜有第一濃度的N型摻質。通道106也摻雜有第二濃度的N型摻質。第二濃度小於第一濃度。在N型累積模式場效電晶體的一些實施例中,通道摻質濃度(第二濃度)介於約5e16 cm-3 至約1e18 cm-3 的範圍內,但通道中的其他摻質濃度也適合於本公開內容。隨著通道摻質濃度增加,電荷載子的數目會增加;然而,在某些情況中,洩漏電流(leakage current)的風險會增加。在一些實施例中,源極102或汲極104中摻質的濃度(第一濃度)介於約1e19 cm-3 至約1e21 cm-3 的範圍內,以減小源極102及汲極104中的寄生電阻(parasitic resistance)。閘極介電層108位於通道106上。閘極電極110位於閘極介電層108上。一對間隙壁(spacer)112抵靠閘極電極110的各側及閘極介電層108的各側並位於含有源極102、汲極104及通道106的基板116的頂側114上。為減小鄰接的場效電晶體之間的寄生電容及寄生電流,基板116包括摻質類型與源極102、汲極104及通道106相反的至少一個阱(well)122。根據一些實施例,基板116的主體部分具有比第一濃度及第二濃度低的濃度的摻質。在一些實施例中,基板116是沉積至半導體晶圓(semiconductor wafer)上的鰭狀材料。在一些實施例中,基板116包括通過將半導體晶圓圖案化而產生的鰭狀結構。在一些實施例中,基板116包含矽鍺。在一些實施例中,基板116包含矽。在一些實施例中,基板116包含III-V族半導體材料。在一些實施例中,基板116是適合於形成電晶體的另一種半導體材料。
第一箭頭118表示在N型累積模式場效電晶體100的操作期間汲極104與通道106之間的電場的方向。第二箭頭120表示在N型累積模式場效電晶體100的操作期間通道106與閘極電極110之間的電場的方向。
在N型累積模式場效電晶體100中,由第一箭頭118及第二箭頭120表示的電場的量值比在通道106中與在源極102/汲極104中具有相反類型摻質的N型場效電晶體(NFET)小。在其他方法的N型場效電晶體中,例如,在增強模式中,通道與源極之間以及通道與汲極之間的介面處的P-N接面隨著每一區中的載子被吸引至這些區之間的介面而具有誘發出的電壓。場效電晶體中此種誘發出的電壓會使啟動場效電晶體操作的臨界電壓與累積模式場效電晶體100的臨界電壓相比升高。例如N型累積模式場效電晶體100等的場效電晶體比其他方法中的場效電晶體更能耐受因熱載子注入、依時性介電崩潰及偏壓臨界值不穩定性引起的老化相關故障,因為跨閘極介電層(位於通道與閘極電極材料之間)所經歷的垂直場強度(由第二箭頭120表示)會減小電荷載子對閘極介電層的衝擊能量。因此,載子被嵌入閘極介電層中的風險得以降低;且被嵌入閘極介電層中的載子的深度與其他方法中的場效電晶體相比更小。垂直場強度更小會降低對閘極介電層與通道的介面造成損壞的風險且隨之減弱會引起場效電晶體的老化相關故障的載子的衝擊。
圖2是根據一些實施例的P型累積模式場效電晶體200的剖面圖。P型累積模式場效電晶體200中的與N型累積模式場效電晶體100中的元件類似的元件具有被增加100的相同附圖標號。與N型累積模式場效電晶體100相比,P型累積模式場效電晶體200在源極202、汲極204及通道206中包含P型摻質。在P型累積模式場效電晶體的一些實施例中,通道摻質濃度介於約5e16 cm-3 至約1e18 cm-3 之間,但其他濃度也適合於本文中所公開的實施例。大於1e18 cm-3 的摻質濃度會減弱載子散射(carrier scattering)並提高通道206中的接通狀態電流(on-state current)。根據一些實施例,第二濃度小於第一濃度。在一些實施例中,源極202或汲極204中摻質的濃度介於約1e19 cm-3 至約1e21 cm-3 之間。在一些實施例中,源極202及汲極204中的摻質濃度是通道206中的摻質濃度的十倍,以減小源極202及汲極204中的寄生電阻。
表1
以上表1包含對其他方法中的N型場效電晶體及P型場效電晶體的特徵以及累積模式場效電晶體(例如N型累積模式場效電晶體100(圖1)及P型累積模式場效電晶體200(圖2))的特徵的匯總。具體來說,表1表明:其他方法中N型場效電晶體及P型場效電晶體中的垂直場強度及橫向場強度大於耐崩潰場效電晶體中的垂直場強度及橫向場強度。對於耐崩潰場效電晶體,在一些情況中,當在閘極電極上施加的電壓Vg是0 V時,介電電場介於約0.13MV/cm至約0.14MV/cm的範圍內,且當Vg被設定為第一參考電壓(例如,Vcc(積體電路的正電源))時,介電電場介於約4.15 MV/cm至約4.4 MV/cm的範圍內。然而,耐崩潰場效電晶體的介電電場小於其他方法中的場效電晶體的介電電場。根據一些實施例,耐崩潰場效電晶體的介電電場(當Vg = Vcc時)介於傳統設計場效電晶體的介電電場的80%至傳統設計場效電晶體的介電電場的90%的範圍內。與其他方法中具有類似尺寸的場效電晶體相比,介電電場的減弱有助於使耐崩潰場效電晶體(例如N型累積模式場效電晶體100或P型累積模式場效電晶體200)耐受因熱載子注入、依時性介電崩潰及偏壓臨界值不穩定性引起的老化相關故障,因為跨閘極介電層(位於通道與閘極電極材料之間)所經歷的垂直場強度減弱了電荷載子對閘極介電層的衝擊。垂直場強度更小會減弱對閘極介電層與通道的介面的損壞,從而彌補了載子遷移率降低的發生,載子遷移率降低最終會引起場效電晶體的老化相關故障。儘管因累積模式操作而減小為在通道區中誘發出載子(例如,在通道206中誘發出電子)而施加的Vg,但臨界電壓Vt可通過其他方法來進行調整。在一些實施例中,通過修改閘極電極與基板之間的功函數差值來調整臨界電壓Vt。在至少一個實施例中,通過修改閘極電極中的功函數層並在通道區中進行通道植入,累積模式操作下的臨界電壓Vt會與反轉模式操作下的臨界電壓Vt實質上相同。因此,與其他方法中的場效電晶體相比,累積模式場效電晶體在維持相同臨界電壓Vt的同時具有更小的場強度。基於各種電路設計要求,累積模式場效電晶體與其他方法中的場效電晶體的組合有助於提高產品可靠性。
圖3A是根據一些實施例的累積模式鰭式場效電晶體(FinFET)302的立體圖。鰭式場效電晶體302在鰭308中具有源極304及汲極306。鰭308還含有位於源極304與汲極306之間以及閘極電極312下方的通道310。剖線A-A在閘極電極312的方向上沿著閘極電極312的長度延伸。剖線B-B垂直於剖線A-A而延伸穿過鰭式場效電晶體302的鰭308。根據一些實施例,鰭式場效電晶體302是其中源極304、汲極306及通道310均具有共同的摻質導電類型的累積模式鰭式場效電晶體。在一些實施例中,源極304具有第一濃度的第一摻質,汲極306具有第二濃度的第一摻質,且通道310具有第三濃度的第一摻質。在一些實施例中,源極304及汲極306具有為第一類型的第一摻質,且通道310具有也為第一類型的第二摻質(不同於第一摻質)。在一些實施例中,源極304及汲極306具有為第一類型的多種摻質,且通道310具有屬於源極304及汲極306中所述為第一類型的多種摻質之列的單一摻質。在一些實施例中,源極304及汲極306具有為第一類型的多種摻質,且通道310具有為第一類型的單一摻質,所述單一摻質不同於源極304及汲極306中所述為第一類型的多種摻質中的摻質。
根據一些實施例,第三濃度小於第一濃度及第二濃度。在一些實施例中,第一濃度及第二濃度彼此不同。在一些實施例中,第一濃度等於第二濃度。在鰭式場效電晶體的一些實施例中,各摻質濃度與上文針對平面N型累積模式場效電晶體100(參見圖1)及平面P型累積模式場效電晶體200(參見圖2)所述的摻質濃度近似相同。在一些實施例中,源極304、汲極306及通道310摻雜有具有相同摻質類型(N型或P型)的多種摻質。
根據各種實施例,累積模式鰭式場效電晶體在所述鰭式場效電晶體中具有至少一個鰭。累積模式鰭式場效電晶體中鰭的數目是根據半導體裝置的電路佈局及根據電路的其他特性(例如通道長度或鰭間距)而決定。根據一些實施例,累積模式場效電晶體的源極、汲極及通道中共同類型的摻質是N型摻質,例如磷、砷或另一種適合的N型摻質。在一些實施例中,累積模式場效電晶體的源極、汲極及通道中共同類型的摻質是P型摻質,例如硼。根據一些實施例,通道310具有比源極304或汲極306小的濃度的共同導電類型(common type)摻質。在一些實施例中,源極304或汲極306中摻質的濃度介於通道310中的摻質濃度的1.5倍與10倍之間。在一些實施例中,源極304的摻質濃度與汲極306的摻質濃度相同。在一些實施例中,源極304的摻質濃度不同於汲極306的摻質濃度。在一些實施例中,通道310與源極304/汲極306之間的接面位於閘極電極312下。在一些實施例中,鰭式場效電晶體302不具有輕摻雜汲極(lightly-doped drain;LDD)區。在一些實施例中,通道310與源極304/汲極306之間的接面位於閘極電極312下。
類似於N型累積模式場效電晶體100(參見圖1)及P型累積模式場效電晶體200(參見圖2),累積模式鰭式場效電晶體從鰭308中通道310的中心通過閘極介電層320(參見圖3B)而朝向閘極電極312經歷更小的場強度。在鰭308中通道310的中心朝向閘極電極312之間場強度的減弱會減小穿過通道310的區而衝撞通道310與閘極介電層320的介面的載子密度。與在反轉模式下誘發出載子的鰭式場效電晶體相比,通道310與閘極介電層320的介面處載子密度的減小會減弱閘極介電層320的老化並延長累積模式鰭式場效電晶體302的使用壽命。
圖3B是根據一些實施例,鰭式場效電晶體通道沿著圖3A所示剖線A-A的剖面圖。鰭式場效電晶體302位於基板316上,其中鰭308從所述基板向上延伸並穿過多層介電材料318。鰭308的上部分形成鰭式場效電晶體302的通道310。閘極介電層320沿著介電材料318的頂側延伸。閘極介電層320也覆蓋鰭308的上部分,所述鰭在所述上部分中穿過介電材料318而突出。閘極介電層320也覆蓋鰭式場效電晶體302中鰭308的頂側。在一些實施例中,功函數層322位於閘極介電層320與閘極電極312之間。在一些實施例中,功函數層322由功函數層322與通道310的摻質濃度之間的功函數差值決定。
根據一些實施例,介電材料318是二氧化矽(silicon dioxide;SiO2 )、氮氧化矽(silicon oxy-nitride;SiON)、低介電常數介電材料、或另一種被配置成將各鰭彼此電絕緣並將鰭式場效電晶體302與附近電路元件電性絕緣的介電材料。在一些實施例中,低介電常數(low-k)介電材料具有比二氧化矽的介電常數小的介電常數。一些低介電常數介電材料含有空隙(void)或氣泡。一些低介電常數介電材料含有碳。根據一些實施例,閘極介電層320是二氧化矽、氮氧化矽、或另一種被配置成將鰭與閘極電極312電性絕緣的介電材料。在其中閘極介電層320是二氧化矽的一些實施例中,閘極介電層320是通過熱氧化(thermal oxidation)而形成。在一些實施例中,可通過化學氣相沉積(chemical vapor deposition;CVD)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition;PE-CVD)、或其他將材料沉積至基板上的方法來沉積介電材料。
根據一些實施例,閘極介電層320包含二氧化矽(SiO2 )、氮氧化矽(SiON)、或其他適用於場效電晶體的閘極介電材料。根據一些實施例,閘極介電層320是介電常數比二氧化矽(介電常數= 3.9)大的高介電常數(high-k)介電材料。根據一些實施例,閘極介電層320是包含HfZrO、HfSiO4 、TiO2 、Ta2 O3 、ZrO2 、ZrSiO2 、或其組合、或其他適合材料的閘極介電層。根據一些實施例,閘極介電層320是通過例如原子層沉積(atomic layer deposition)或磊晶膜生長(epitaxial film growth)等沉積方法形成,以在介電材料318的頂側以及鰭式場效電晶體302中鰭308的各側及頂部上形成厚度均勻的膜。
根據一些實施例,閘極介電層320與功函數層322重疊,功函數層322共形地(conformally)覆蓋閘極介電層320。根據一些實施例,功函數層322完全地覆蓋閘極介電層320。在一些實施例中,功函數層322局部地覆蓋介電材料318。根據本公開內容一些實施例的設計參數來視需要包含功函數層322。在場效電晶體中,可通過修改通道310的摻質濃度並通過對功函數層進行選擇來調整臨界電壓。包含功函數層(例如金屬、金屬氮化物、或金屬矽化物)的場效電晶體具有與形成所述功函數層的材料的類型相關聯的功函數。在其中會發生費米釘紮效應(fermi pinning effect)(即,功函數基於不同的閘極介電層而變化)的一些實施例中,有效功函數被計算成滿足功函數層與基板之間的預定功函數差值。
根據其中鰭式場效電晶體302是N型電晶體的一些實施例,功函數層322包含P型功函數金屬。在其中基板316是矽系基板的一些實施例中,導帶能量(conduction band energy)為大約4.05電子伏特(electron volt,eV),且價帶能量(valence band energy)為大約5.17 eV。由於在累積模式電晶體中為誘發出電洞(hole)而施加的電壓比反轉模式電晶體小,因而功函數層322的有效功函數約為導帶能量+/- 0.45 eV。在一些實施例中,功函數層322包含Pt、Ir、RuO2 、TiN、MoNx 、Ni、Pd、Co、TaN、Ru、Mo、W、或WNx 、或矽化物(例如ZrSi2 、MoSi2 、TaSi2 、或NiSi2 )、或其他功函數層、或其組合。所屬領域中的普通技術人員將理解,有效功函數是基於基板中所包含的不同材料而決定。在其中鰭式場效電晶體302是P型電晶體的一些實施例中,所述功函數層包括N型功函數層,且功函數層322的有效功函數是價帶能量+/- 0.45 eV。因此,在一些實施例中,功函數層322包含Ti、Nb、Ag、Au、Al、Co、W、Ni、Ta、TaAl、TaAlC、TaAlN、TaC、TaCN、TaSiN、Mn、Zr、或其他N型功函數層、或其組合。在一些實施例中,積體電路中的一些鰭式場效電晶體具有P型功函數層,且同一積體電路中第二子組的鰭式場效電晶體具有N型功函數層。藉由基於步驟電壓來對功函數層322進行選擇,在一些實施例中,累積模式電晶體具有與其他方法中的電晶體(例如反轉模式電晶體)實質上相同的臨界電壓。這樣一來,例如,N型電晶體的臨界電壓的絕對值與P型電晶體的臨界電壓的絕對值實質上相同。在一些實施例中,積體電路中的鰭式場效電晶體對於P型鰭式場效電晶體/N型鰭式場效電晶體兩者均具有P型功函數層。在一些實施例中,積體電路中的鰭式場效電晶體對於P型鰭式場效電晶體/N型鰭式場效電晶體兩者均具有N型功函數層。在一些實施例中,在P型累積模式鰭式場效電晶體上,將P型功函數層連同高介電常數介電材料一起使用。在一些實施例中,在N型累積模式鰭式場效電晶體上,將N型功函數層連同高介電常數介電材料一起使用。在一些實施例中,在P型累積模式場效電晶體上使用與N型場效電晶體相關聯的功函數層。在一些實施例中,在N型累積模式場效電晶體上使用與P型場效電晶體相關聯的功函數層。在一些實施例中,半導體裝置包括具有功函數層的第一場效電晶體及具有不同類型的功函數層或不具有功函數層的第二場效電晶體。在一些實施例中,第一場效電晶體是與第二場效電晶體不同類型的場效電晶體(N型或P型)。在一些實施例中,第一場效電晶體是與第二場效電晶體相同類型的場效電晶體。
根據一些實施例,閘極電極312與鰭式場效電晶體的鰭308的通道310重疊。在一些實施例中,閘極電極312直接接觸功函數層322。在一些實施例中,閘極電極312直接接觸閘極介電層320。根據一些實施例,閘極電極312與源極304及汲極306分離,且在通道310上方覆蓋鰭308的內部分。根據一些實施例,鰭式場效電晶體302的臨界電壓是通過調節通道310中在閘極電極312下方的摻質濃度並對功函數層322進行選擇而決定。在一些實施例中,累積模式鰭式場效電晶體的通道310具有比源極304及汲極306中的摻質濃度小的摻質濃度。
圖3C是根據一些實施例,鰭式場效電晶體沿著圖3A所示剖線B-B的剖面圖。源極304、汲極306、以及將源極304與汲極306內連(interconnect)的鰭308位於基板316上。通道310位於鰭308的一部分處,所述部分通過鰭308的位於通道310之外的區段而與源極及汲極分離。閘極介電層320位於通道310的頂部上及閘極電極312下面。在一些實施例中,功函數層322位於閘極介電層320與閘極電極312之間。根據一些實施例,閘極電極312具有與功函數層322及閘極介電層320相同的寬度。在其中通道310與源極304/汲極306之間的接面是位於閘極電極312下的一些實施例中,閘極電極312具有與功函數層322、閘極介電層320或通道310中的至少一者不同的寬度。舉例來說,閘極電極312的寬度大於通道310的長度。在一些實施例中,源極304及汲極306是延伸至閘極介電層320上面的應力(stressed)源極區及汲極區。
圖4A是根據一些實施例的奈米線場效電晶體(奈米FET或NWFET)402的立體圖。奈米線場效電晶體402具有源極404及汲極406,源極404及汲極406由在源極404與汲極406之間延伸的導線408連接。導線408與源極404及汲極406下方的基板(圖中未繪示)電性隔離。導線408具有位於源極404與汲極406之間的通道410。根據一些實施例,源極404、汲極406及導線408由例如矽、矽鍺或III-V型半導體材料等的半導體材料製成。根據一些實施例,用於形成源極404、汲極406及導線408的半導體材料摻雜有相同的摻質。奈米線場效電晶體402還具有包圍通道410的閘極電極412。閘極電極412包含閘極電極材料,根據一些實施例,所述閘極電極材料是矽、經摻雜矽、或某種其他可被製成為閘極電極的材料。
源極404具有第一濃度的第一摻質,汲極406具有第二濃度的第一摻質,且通道410具有第三濃度的第一摻質。在一些實施例中,源極404、汲極406或通道410中的至少一者包含多於一種為相同類型的種類摻質。根據一些實施例,第三濃度小於第一濃度及第二濃度兩者。在一些實施例中,第一濃度與第二濃度近似相同。根據一些實施例,位於通道410之外的導線408具有第四濃度的第一摻質,所述第四濃度大於第三濃度。根據一些實施例,第四濃度大於第三濃度且小於第一濃度及第二濃度。在一些實施例中,源極404中的摻質是與汲極406及通道410中的摻質相同的種類。在一些實施例中,源極404中的摻質不同於汲極406或通道410中的摻質種類。在一些實施例中,源極404中摻質的濃度是與汲極406中摻質相同的濃度。在一些實施例中,源極404中摻質的濃度不同於汲極406或通道410中的至少一者中摻質的濃度。在奈米線場效電晶體的一些實施例中,各摻質濃度與上文針對平面N型累積模式場效電晶體100(參見圖1)及平面P型累積模式場效電晶體200(參見圖2)所述的摻質濃度近似相同。
剖線C-C在閘極電極412的方向上延伸且與奈米線場效電晶體402中的通道410重疊。剖線D-D在垂直於剖線C-C的方向上延伸且與源極404、汲極406及導線408重疊。源極404具有第一濃度的第一摻質,汲極406具有第二濃度的第一摻質,且通道410具有第三濃度的第一摻質。第三濃度小於第一濃度及第二濃度兩者。
如上文針對N型累積模式場效電晶體100(參見圖1)及P型累積模式場效電晶體200(參見圖2)所述,累積模式鰭式場效電晶體從導線408中通道410的中心通過閘極介電層420而朝向閘極電極412經歷更小的“垂直”場強度。在導線408中通道410的內部分與閘極電極412之間垂直場強度的減弱是因場效電晶體的功函數被修改且場效電晶體的臨界電壓更小而引起。在導線408中通道410的內部分與閘極電極412之間垂直場強度的減弱會減小穿過通道410的區而衝撞通道410與閘極介電層420的介面的載子密度。通道410與閘極介電層420的介面處載子密度的減小會減弱閘極介電層420的老化並延長奈米線場效電晶體402在因熱載子注入、依時性介電崩潰及偏壓臨界值不穩定性引起的老化相關故障發生之前的壽命。
圖4B是根據一些實施例,奈米線場效電晶體402沿著圖4A所示剖線C-C貫穿通道410的剖面圖。通道410由閘極介電層420包圍。根據一些實施例,功函數層422環繞閘極介電層420及通道410兩者,並被閘極電極412環繞。
根據一些實施例,通道410可為N摻雜累積模式場效電晶體或P摻雜累積模式場效電晶體的一部分。根據一些實施例,閘極介電層420包含二氧化矽(SiO2 )、氮氧化矽(SiON)或其他介電材料。在一些實施例中,閘極介電層420是通過原子層磊晶(atomic layer epitaxy;ALE)、原子層沉積(ALD)、熱氧化、或其他在通道410上沉積薄膜的方法而沉積。在一些實施例中,介電層420是介電常數比二氧化矽大的高介電常數介電材料。在一些實施例中,功函數層422是P型功函數金屬(例如Pt、Ir、RuO2 、TiN、MoNx 、Ni、Pd、Co、TaN、Ru、Mo、W、或WNx )、或矽化物(例如ZrSi2 、MoSi2 、TaSi2 、或NiSi2 )、或其他功函數層、或其組合。在其中包含功函數層422的一些實施例中,功函數及臨界電壓是通過閘極電極412中所包含的功函數層422及閘極介電層420的類型來調配。
在一些實施例中,所述功函數層是N型功函數修改金屬(modifying metal)(例如Ti、Nb、Ag、Au、Al、Co、W、Ni、Ta、TaAl、TaAlC、TaAlN、TaC、TaCN、TaSiN、Mn、Zr)、或其他N型功函數層、或其組合。根據一些實施例,閘極電極412是例如矽、矽鍺或其他可被形成為閘極電極的半導體材料等的半導體材料。閘極電極412與源極404及汲極406分離、位於源極404與汲極406之間、覆蓋導線408的內部分,並包圍通道410。
在一些實施例中,在P型累積模式場效電晶體上使用與其他方法中的N型場效電晶體相關聯的功函數層。在一些實施例中,在N型累積模式場效電晶體上使用與其他方法中的P型場效電晶體相關聯的功函數層。在一些實施例中,半導體裝置包括具有功函數層的第一場效電晶體以及具有不同類型的功函數層或不具有功函數層的第二場效電晶體。在一些實施例中,第一場效電晶體是與第二場效電晶體不同類型的場效電晶體(N型或P型)。在一些實施例中,第一場效電晶體是與第二場效電晶體相同類型的場效電晶體。
圖4C是根據一些實施例耐崩潰奈米線場效電晶體424沿著圖4A所示剖線D-D貫穿通道410的剖面圖。源極404、汲極406及閘極電極412配置在基板426上。導線408在基板426上方的點處連接源極404及汲極406。在導線408內具有位於通道的頂側及底側上的閘極介電層420。閘極介電層420及通道410在導線408上方及下面均被閘極介電材料環繞。耐崩潰奈米線場效電晶體424進一步包括功函數層422。源極404具有第一濃度的第一摻質,汲極406具有第二濃度的第一摻質,且通道410具有第三濃度的第一摻質。根據一些實施例,第三濃度小於第一濃度及第二濃度。在一些實施例中,源極404及汲極406具有單一摻質。在一些實施例中,每一源極及汲極具有多種具相同摻質類型的摻質種類。在一些實施例中,位於通道410外的部分導線408具有比第三濃度大的濃度的第一摻質。在一些實施例中,位於通道410外的部分導線中的第一摻質的濃度與第一濃度及第二濃度近似相同。
圖5是根據一些實施例形成場效電晶體的方法500的流程圖。
在步驟504中,以具有第一摻質類型的摻質對場效電晶體的通道進行摻雜。在至少一個實施例中,此種摻雜製程被稱為臨界電壓植入(threshold voltage implantation)。在一些實施例中,對通道進行摻雜包括植入製程。在一些實施例中,離子植入製程是垂直離子植入製程(vertical ion implantation process)。在一些實施例中,離子植入製程是傾斜離子植入製程(angled ion implantation process)。在一些實施例中,植入製程之後是退火製程。在一些實施例中,對通道進行摻雜是與在執行蝕刻過程以使通道成形之前將塊狀通道材料沉積至晶圓(wafer)表面上的沉積的步驟期間原位(in-situ)完成。在一些實施例中,對通道進行原位摻雜是在進行磊晶沉積製程以形成鰭式場效電晶體的鰭或奈米線場效電晶體的奈米線期間執行。在一些實施例中,對通道進行摻雜是通過沉積一層摻質並將半導體結構退火以使摻質擴散至基板、鰭或奈米線結構中來執行。
通道的摻質濃度小於源極的摻質濃度及汲極的摻質濃度。在一些實施例中,植入至通道中的種類與植入至源極或汲極中的至少一者中的種類相同。在一些實施例中,植入至通道中的種類不同於植入至源極或汲極中的至少一者中的種類。根據一些方法,添加至場效電晶體通道的摻質是N型摻質。一些方法包括將P型摻質添加至通道。添加摻質以調整或設定場效電晶體的功函數及臨界電壓有時會涉及形成摻質濃度比對應場效電晶體的源極或汲極中摻質的濃度小的通道。在一些實施例中,步驟504是以循序方式對N型場效電晶體及P型場效電晶體執行。在一些實施例中,步驟504是以同時方式對N型場效電晶體及P型場效電晶體兩者執行。
方法500包括步驟506,以在基板上沉積閘極介電層。在一些實施例中,所述閘極介電層是二氧化矽(SiO2 )或氮氧化矽(SiON)。一些實施例具有為高介電常數介電材料(例如HfZrO、HfSiO4 、TiO2 、Ta2 O3 、ZrO2 、ZrSiO2 、或其組合、或其他高介電常數介電材料)的閘極介電層。沉積閘極介電層有時是通過執行原子層磊晶或原子層沉積來完成。通過例如原子層沉積而沉積的閘極介電層不僅覆蓋通道,而且覆蓋鰭(對於鰭式場效電晶體來說)或導線(對於奈米線場效電晶體來說)的非通道部分以及電晶體源極及汲極。在一些實施例中,閘極介電層是在沉積隔離各場效電晶體的塊狀層間介電質(inter layer dielectric;ILD)層之前被沉積。在一些實施例中,閘極介電層是在層間介電質沉積之後被沉積。
方法500包括步驟508,以具有第一摻質類型的摻質對場效電晶體的源極及汲極進行摻雜。在一些實施例中,對源極及汲極進行摻雜包括在源極及汲極的磊晶生長期間進行原位摻雜製程。在一些實施例中,對源極及汲極進行摻雜包括植入製程。在一些實施例中,植入製程之後是退火製程。在一些實施例中,以與對汲極的植入製程循序的方式來對源極執行植入。在一些實施例中,同時對源極及汲極執行植入製程。在一些實施例中,對源極的植入製程包括植入與對汲極的植入製程相同的摻質種類。在一些實施例中,對源極的植入製程會植入與在汲極中植入的摻質物質不同的摻質種類。在一些實施例中,源極的摻質濃度等於汲極的摻質濃度。在一些實施例中,源極的摻質濃度不同於汲極的摻質濃度。在一些實施例中,第一摻質類型是N型摻質,例如磷、砷或另一種適合的N型摻質。在一些實施例中,第一摻質類型是P型摻質,例如硼、銦或另一種適合的P型摻質。適用於第一摻質類型的種類取決於正被進行摻雜的材料。P型摻質是電子受體(electron acceptor)。相比之下,N型摻質是電子給體(electron donor)。
在一些實施例中,步驟504是與對源極的植入製程或與對汲極的植入製程同時執行。
以單一摻質類型對源極、汲極及在源極與汲極之間延伸的通道進行摻雜,以使得每一源極、每一汲極及源極與汲極之間的每一通道具有第一濃度的摻質。根據一些實施例,一旦源極、汲極及通道接收到達第一濃度的第一摻質,便可在源極與汲極之間的通道上配置罩幕,以阻止通道在第二摻雜製程期間接收到更多的摻質。根據一些實施例,所述罩幕是光阻罩幕。在一些實施例中,所述罩幕包含例如旋塗玻璃等的介電材料,所述介電材料是利用光阻被圖案化並被蝕刻以在通道上界定對應於通道長度的罩幕尺寸。在形成閘極電極之前,從通道移除罩幕材料(不論是光阻還是介電罩幕材料)。
在第二摻雜製程期間,將源極、汲極及通道(至少是通道的位於罩幕區域之外的一部分)中摻質的濃度增加至比通道中摻質的第一濃度大的第二濃度。在一些實施例中,在第二摻雜製程之前,保護通道的罩幕也覆蓋源極或汲極中的一者。在一些實施例中,在第二摻雜製程之後,移除罩幕,且在進行第三摻雜製程以調整場效電晶體被暴露出的部分中的摻質濃度之前,對晶圓表面施加第二罩幕,所述第二罩幕覆蓋通道並覆蓋源極或汲極中(由第一罩幕暴露出)的另一者。在一些實施例中,第二摻雜製程涉及不同於第一摻質且與第一摻質為相同類型(N型或P型)的第二摻質。在一些實施例中,第三摻雜製程涉及不同於第一摻質及第二摻質且與第一摻質及第二摻質為相同類型的第三摻質。
方法500進一步包括步驟510,以在通道上沉積功函數層。在累積模式N型電晶體的一些實施例中,所述功函數層的有效功函數與基板(例如,通道區)的價帶能量之間的差值等於或小於所述價帶能量的10%。在累積模式P型電晶體的一些實施例中,所述功函數層的有效功函數與基板(例如,通道區)的導帶能量之間的差值等於或小於所述導帶能量的10%。在其中基板包含矽系材料的一些實施例中,功函數層是P型功函數金屬(例如Pt、Ir、RuO2 、TiN、MoNx 、Ni、Pd、Co、TaN、Ru、Mo、W、或WNx )、或矽化物(例如ZrSi2 、MoSi2 、TaSi2 、或NiSi2 )、或其他功函數層、或其組合。在一些實施例中,功函數層是N型功函數修改金屬(例如Ti、Nb、Ag、Au、Al、Co、W、Ni、Ta、TaAl、TaAlC、TaAlN、TaC、TaCN、TaSiN、Mn、Zr)、或其他N型功函數層、或其組合。在一些實施例中,積體電路中一個子組的鰭式場效電晶體具有P型功函數層,且同一積體電路中第二子組的鰭式場效電晶體具有N型功函數層。在一些實施例中,在P型累積模式鰭式場效電晶體上,將P型功函數層連同高介電常數介電材料一起使用。在一些實施例中,在N型累積模式鰭式場效電晶體上,將N型功函數層連同高介電常數介電材料一起使用。在一些實施例中,功函數層被沉積在閘極介電層上。
方法500包括步驟512,以在閘極介電層上沉積閘極電極材料。根據一些實施例,所述閘極電極材料是多晶矽或矽鍺。一些實施例具有由其他半導體材料(例如III-V型半導體)製成的閘極電極。根據一些實施例,閘極電極還可包含被配置成在積體電路的操作期間調整電晶體的切換速度的金屬化層或摻質。在一些實施例中,閘極電極是通過以下由閘極電極堆疊(包括閘極電極材料、閘極介電層,且在一些實施例中包括功函數層)所形成:將光阻沉積至一層閘極電極材料上,將所述光阻圖案化以在閘極電極材料的被指定為閘極電極的一部分上留下罩幕線,並蝕刻閘極電極堆疊以暴露出源極、汲極以及鰭(對於鰭式場效電晶體來說)或導線(對於奈米線場效電晶體來說)的非通道部分。在一些實施例中,蝕刻閘極電極是通過執行電漿蝕刻以從源極、汲極以及鰭(對於鰭式場效電晶體來說)或導線(對於奈米線場效電晶體來說)的非通道部分上選擇性地移除閘極電極堆疊的膜來完成。
在一些實施例中,對方法500添加額外的步驟。舉例來說,在一些實施例中,作為方法500的一部分來形成鰭式場效電晶體的鰭。作為另一實例,在一些實施例中,通過磊晶生長製程來形成源極/汲極區。
圖6A是根據一些實施例與累積模式鰭式場效電晶體302類似的鰭式場效電晶體600在製造過程期間沿著剖線A-A的剖面圖。圖6B是根據一些實施例與累積模式鰭式場效電晶體302類似的鰭式場效電晶體600在製造過程的與圖6A相同的階段處沿著剖線B-B的剖面圖。圖6A及圖6B示出在第一摻雜步驟602期間的基板316及從基板316向上延伸的鰭308。第一摻雜步驟602在每一源極304、每一汲極306及每一鰭308中將第一摻質添加至第一濃度。在一些實施例中,在第一摻雜步驟602期間,源極304及汲極306受光阻保護。在第一摻雜步驟602期間確定出通道區。第一摻雜步驟602沿著鰭308的長度確立摻質的第一濃度,所述第一濃度與將被形成的通道中摻質的濃度相一致。
圖6C是與累積模式鰭式場效電晶體302類似的鰭式場效電晶體600在製造過程期間在圖6A所示第一摻雜步驟602之後沿著剖線A-A的剖面圖。鰭式場效電晶體600中的鰭308在鰭308的上部分處具有通道310。通道310是在第二摻雜步驟608期間形成,其中在第一摻雜步驟602期間添加至鰭式場效電晶體的第一摻質被添加至場效電晶體的源極及汲極。在一些實施例中,通道310受罩幕610保護。在一些實施例中,罩幕610是光阻罩幕。在其他實施例中,罩幕610是複合(composite)罩幕層,其具有一層無機罩幕材料,例如旋塗玻璃或其他在第二摻雜步驟608期間覆蓋通道310的介電材料。
圖6D是與累積模式鰭式場效電晶體302類似的鰭式場效電晶體600的一些實施例在製造過程期間在圖6B所示第一摻雜步驟602之後沿著剖線B-B的剖面圖。圖6C與圖6D表示製造過程中的同一階段。圖6D示出鰭式場效電晶體600沿著鰭308的剖面圖,其繪示由鰭308連接的源極304及汲極306,其中通道310上方的罩幕610保護所述通道免於在第二摻雜步驟608期間接收到其他摻質。源極304、汲極306及鰭308位於基板316上。在耐崩潰鰭式場效電晶體600的一些實施例中,通道310位於鰭308的上部分中。耐崩潰鰭式場效電晶體600的一些實施例具有從鰭308的頂部向下延伸至基板316的通道310。
圖7A是與累積模式奈米線場效電晶體402的一些實施例類似的奈米線場效電晶體700在製造過程期間沿著剖線D-D的剖面圖。耐崩潰奈米線場效電晶體700位於基板702上,且包括由導線408內連的源極404及汲極406。導線408通過犧牲層704與基板702分離。在一些實施例中,犧牲層704是一層介電材料,例如二氧化矽。在一些實施例中,犧牲層704是一層半導體材料,例如鍺。犧牲層704被配置成通過執行蝕刻製程(例如濕化學蝕刻)而從導線408與基板702之間被選擇性地移除。在一些實施例中,犧牲層706位於導線408的頂側上。根據一些實施例,犧牲層706被沉積至導線408的頂側上,以在被移除之前容許對晶圓進行化學機械拋光或平坦化,從而建立平整的頂側708。在製造累積模式奈米線場效電晶體(例如耐崩潰奈米線場效電晶體700)的一些方法中,犧牲層704及犧牲層706是在類似的蝕刻製程期間被移除。
圖7B是與累積模式奈米線場效電晶體402的一些實施例類似的奈米線場效電晶體700在製造過程期間在圖7A所示的同一階段處沿著剖線C-C的剖面圖。奈米線場效電晶體700包括配置在基板702上並由導線408內連的源極404及汲極406。在一些實施例中,犧牲層704及706確立導線408的垂直厚度以及導線408在基板702上方的間隔。可在製造過程的後續階段期間利用對用於形成源極404、汲極406及導線408的半導體材料的移除具選擇性的技術(例如濕化學蝕刻)來移除犧牲層704及706。
圖7C是與累積模式奈米線場效電晶體402的一些實施例類似的奈米線場效電晶體700在製造過程期間沿著剖線C-C的剖面圖。圖中描繪了第一摻雜步驟714期間的奈米線場效電晶體700,其中正將第一摻質(N型摻質或P型摻質)添加至導線408。根據一些實施例,犧牲層704在第一摻雜步驟714之前已被移除。在一些實施例中,犧牲層704及犧牲層706在第一摻雜步驟714期間仍存在。
圖7D是奈米線場效電晶體700在執行製造過程期間在圖7C所描繪的同一階段處沿著剖線D-D的剖面圖。在第一摻雜步驟714期間,配置在基板702上的源極404及汲極406由導線408內連。犧牲層704及706在本剖面圖中已被移除,但在一些實施例中,犧牲層704及706在第一摻雜步驟714期間可存在。根據方法500的一些型式,通過在第一摻雜步驟714中以第一濃度的第一摻質對導線408進行摻雜來調配奈米線場效電晶體700的功函數。根據一些實施例,可在掩蔽導線408的通道410以界定通道並將更多的摻質添加至源極404及汲極406之前以多於一個摻雜步驟將摻質添加至導線408。可通過一次或多次植入製程將摻質添加至導線408、源極404及汲極406。
圖7E是奈米線場效電晶體700的一些實施例在製造過程期間的剖面圖,其類似於累積模式奈米線場效電晶體402沿著剖線C-C的剖面圖。圖中繪示了第二摻雜步驟720期間的奈米線場效電晶體700,其中通道410由包圍通道410的所有側且配置在基板702的頂表面上的罩幕722保護。
圖7F是奈米線場效電晶體700的實施例在第二摻雜步驟720期間的剖面圖,其類似於累積模式奈米線場效電晶體402沿著剖線D-D的剖面圖。源極404及汲極406配置在基板702上並由導線408內連。導線408被罩幕722局部地掩蔽,罩幕722包圍通道410,從而保護通道410免受通過第二摻雜過程720添加至源極404、汲極406及導線408的一些部分的額外摻質的影響。通道410及罩幕722位於導線408的與源極404及汲極406分離的內部分處。罩幕722配置在基板702的頂表面上。根據一些實施例,罩幕722是已被沉積及圖案化的光阻層。在一些實施例中,罩幕722是複合罩幕層,其包含底部介電材料層(例如旋塗玻璃)及已被圖案化的頂部光阻層。在一些實施例中,當罩幕722是複合罩幕層時,底部介電材料層已被局部地移除,從而暴露出源極404、汲極406、及導線408的一部分、以及基板702的頂表面。在一些實施例中,在執行第二摻雜步驟720之前,上部光阻層被移除,從而僅留下經圖案化的介電材料。
根據本公開內容的一些實施例,鰭式場效電晶體或奈米線場效電晶體是具有雙邊對稱性(bilateral symmetry)且操作電壓低於3伏的場效電晶體。對於與圖3A及圖3B中所描繪的累積模式鰭式場效電晶體302類似的耐崩潰鰭式場效電晶體,沿著剖線A-A及B-B示範出雙邊對稱性。對於與圖4A及圖4B中所描繪的累積模式奈米線場效電晶體402類似的耐崩潰奈米線場效電晶體,沿著剖線C-C及D-D示範出雙邊對稱性。本文中針對耐崩潰場效電晶體所述的操作電壓與平面互補金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor,CMOS)N型場效電晶體裝置及平面互補金屬氧化物半導體P型場效電晶體裝置以及鰭式場效電晶體裝置及奈米線場效電晶體裝置相一致。
本公開內容的方面涉及一種半導體裝置。所述半導體裝置包括基板。所述半導體裝置進一步包括位於所述基板上的第一電晶體,其中所述第一電晶體具有第一臨界電壓,且所述第一電晶體的通道區及源極/汲極區為N型。所述半導體裝置進一步包括位於所述基板上的第二電晶體,其中所述第二電晶體具有第二臨界電壓,所述第二電晶體的通道區為N型且所述第二電晶體的源極/汲極區為P型,並且所述第一臨界電壓的絕對值實質上等於所述第二臨界電壓的絕對值。
在一些實施例中,所述第一電晶體具有第一功函數(work function)層,其中所述第一功函數層的有效功函數(effective work function)與所述基板的價帶能量(valence band energy)之間的差值小於約0.45電子伏特(eV)。所述第二電晶體具有第二功函數層,其中所述第二功函數層的有效功函數與所述基板的所述價帶能量之間的差值小於約0.45 eV。
在一些實施例中,所述第一功函數層包含Pt、Ir、RuO2 、TiN、MoNx 、WNx 、Mo、Ni、Pd、Co、Ag、Au或W。
在一些實施例中,所述第一功函數層包含與所述第二功函數層相同的材料。
在一些實施例中,所述半導體裝置進一步包括第三電晶體及第四電晶體。第三電晶體位於所述基板上,其中所述第三電晶體具有第三臨界電壓,且所述第三電晶體的通道區及源極/汲極區為P型。第四電晶體位於所述基板上,其中所述第四電晶體具有第四臨界電壓,所述第四電晶體的通道區為P型且所述第四電晶體的源極/汲極區為N型,並且所述第四臨界電壓實質上等於所述第一臨界電壓。
在一些實施例中,所述第三電晶體具有第三功函數層,其中所述第三功函數層的有效功函數與所述基板的導帶能量(conduction band energy)之間的差值小於0.45 eV。所述第四電晶體具有第四功函數層,其中所述第四功函數層的有效功函數與所述基板的所述導帶能量之間的差值小於0.45 eV。
在一些實施例中,所述第三功函數層包含Nb、Al、Ta、Zr、Ti、TaN、Mo、Co、Ag、Au或W。
在一些實施例中,所述第三功函數層包含與所述第四功函數層相同的材料。
在一些實施例中,所述第一電晶體的所述通道區與所述源極/汲極區之間的接面(junction)位於閘極結構下。
在一些實施例中,所述第一電晶體的所述通道區中的摻質的濃度介於約5e16 cm-3 至約1e18 cm-3 的範圍內。
在一些實施例中,所述第一電晶體的所述源極/汲極區中的摻質的濃度介於約1e19 cm-3 至約1e21 cm-3 的範圍內。
本公開內容的方面涉及一種積體電路。所述積體電路包括位於矽系基板上的第一電晶體,其中所述第一電晶體包括通道區及源極/汲極區,所述通道區及所述源極/汲極區具有第一導電類型(conductivity type)。所述積體電路進一步包括位於所述矽系基板上的第二電晶體,其中所述第二電晶體包括具有第二導電類型的通道區及具有所述第一導電類型的源極/汲極區,所述第二導電類型不同於所述第一導電類型且所述第一電晶體的功函數層不同於所述第二電晶體的功函數層。
在一些實施例中,所述第一電晶體具有與所述第二電晶體相同的臨界電壓。
在一些實施例中,所述第一電晶體的阱區(well region)具有與所述第二電晶體的阱區相同的導電類型。
在一些實施例中,所述積體電路進一步包括第三電晶體。第三電晶體位於所述矽系基板上,其中所述第三電晶體包括具有所述第一導電類型的通道區及具有所述第二導電類型的源極/汲極區,且所述第三電晶體的功函數層包含與所述第一電晶體的所述功函數層相同的材料。
在一些實施例中,所述第一電晶體不具有輕摻雜汲極(lightly-doped drain;LDD)區。
本公開內容的一些方面涉及一種半導體裝置的製造方法。所述方法包括對第一電晶體的通道區及第二電晶體的通道區進行植入(implant)以具有第一導電類型。所述方法進一步包括將所述第一電晶體的源極/汲極區形成為具有所述第一導電類型且將所述第二電晶體的源極/汲極區形成為具有第二導電類型,其中所述第二導電類型不同於所述第一導電類型。所述方法進一步包括在所述第一電晶體的所述通道區上沉積第一功函數層並在所述第二電晶體的所述通道區上沉積第二功函數層,其中所述第一電晶體具有與所述第二電晶體相同的臨界電壓或材料。
在一些實施例中,所述方法進一步包括對在所述第二電晶體中形成具有所述第二導電類型的輕摻雜汲極(lightly-doped drain;LDD)區。
在一些實施例中,對所述第一電晶體的所述通道區及所述第二電晶體的所述通道區進行植入包括使用相同的摻質對所述第一電晶體的所述通道區及所述第二電晶體的所述通道區進行植入。
在一些實施例中,對所述第一電晶體的所述通道區及所述第二電晶體的所述通道區進行植入包括同時對所述第一電晶體的所述通道區及所述第二電晶體的所述通道區進行植入。
以上內容概述了若干實施例的特徵以使所屬領域中的技術人員可更好地理解本公開內容的各個方面。所屬領域中的技術人員應理解,他們可易於使用本公開內容作為基礎來設計或修改其他製程及結構以實現本文所介紹實施例的相同的目的及/或獲得本文所介紹實施例的相同優點。所屬領域中的技術人員還應認識到,此種等效構造並不背離本公開內容的精神及範圍,且在不背離本公開內容的精神及範圍條件下他們可對本文做出各種改變、替代、及變更。
100‧‧‧N型累積模式場效電晶體
102、202、304、404‧‧‧源極
104、204、306、406‧‧‧汲極
106、206、310、410‧‧‧通道
108‧‧‧閘極介電層
110、412‧‧‧閘極電極
112‧‧‧間隙壁
114‧‧‧頂側
116、316、426、702‧‧‧基板
118‧‧‧第一箭頭
120‧‧‧第二箭頭
122‧‧‧阱
200‧‧‧P型累積模式場效電晶體
302‧‧‧鰭式場效電晶體
308‧‧‧鰭
312‧‧‧閘極電極
318‧‧‧介電材料
320‧‧‧閘極介電層
322、422‧‧‧功函數層
402、424、700‧‧‧奈米線場效電晶體
408‧‧‧導線
420‧‧‧閘極介電層
500‧‧‧方法
504、506、508、510、512‧‧‧步驟
600‧‧‧鰭式場效電晶體
602、714‧‧‧第一摻雜步驟
608、720‧‧‧第二摻雜步驟
610、722‧‧‧罩幕
704、706‧‧‧犧牲層
708‧‧‧頂側
A-A、B-B、C-C、D-D‧‧‧剖線
圖1是根據一些實施例的N型累積模式(accumulation mode)場效電晶體(FET)的剖面圖。 圖2是根據一些實施例的P型累積模式場效電晶體的剖面圖。 圖3A是根據一些實施例的鰭式場效電晶體(fin field effect transistor;FinFET)的立體圖。 圖3B至圖3C是根據一些實施例鰭式場效電晶體沿著圖3A所示對應剖線A-A及B-B的剖面圖。 圖4A是根據一些實施例的奈米線場效電晶體(nanowire field effect transistor;NWFET)的立體圖。 圖4B至圖4C是根據一些實施例奈米線場效電晶體沿著圖4A所示對應剖線C-C及D-D的剖面圖。 圖5是根據一些實施例形成場效電晶體的方法的流程圖。 圖6A至圖6D是根據一些實施例鰭式場效電晶體在製造過程的各種階段期間的剖面圖。 圖7A至圖7F是根據一些實施例奈米線場效電晶體在製造過程的各種階段期間的剖面圖。

Claims (1)

  1. 一種半導體裝置,包括: 基板; 第一電晶體,位於所述基板上,其中所述第一電晶體具有第一臨界電壓,且所述第一電晶體的通道區及源極/汲極區為N型;以及 第二電晶體,位於所述基板上,其中所述第二電晶體具有第二臨界電壓,所述第二電晶體的通道區為N型且所述第二電晶體的源極/汲極區為P型,並且所述第一臨界電壓的絕對值實質上等於所述第二臨界電壓的絕對值。
TW106119594A 2016-11-29 2017-06-13 半導體裝置、積體電路以及半導體裝置的製造方法 TWI752041B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427548P 2016-11-29 2016-11-29
US62/427,548 2016-11-29
US15/583,126 US10553494B2 (en) 2016-11-29 2017-05-01 Breakdown resistant semiconductor apparatus and method of making same
US15/583,126 2017-05-01

Publications (2)

Publication Number Publication Date
TW201829292A true TW201829292A (zh) 2018-08-16
TWI752041B TWI752041B (zh) 2022-01-11

Family

ID=62190955

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106119594A TWI752041B (zh) 2016-11-29 2017-06-13 半導體裝置、積體電路以及半導體裝置的製造方法

Country Status (3)

Country Link
US (2) US10553494B2 (zh)
CN (1) CN108122847B (zh)
TW (1) TWI752041B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10515969B2 (en) 2016-11-17 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11575005B2 (en) * 2018-03-30 2023-02-07 Intel Corporation Asymmetrical semiconductor nanowire field-effect transistor
CN109616519A (zh) * 2018-12-06 2019-04-12 贵阳学院 一种零亚阈摆幅零碰撞电离晶体管器件及制造方法
JP2023546734A (ja) * 2020-09-18 2023-11-07 西安電子科技大学 CMOS構造及びFinFET CMOS、FD CMOS、GAA CMOSの製造方法
CN112687689A (zh) * 2020-12-10 2021-04-20 西安电子科技大学 一种fd cmos结构及其制备方法
CN112349717B (zh) * 2020-09-18 2023-02-10 西安电子科技大学 一种FinFET CMOS结构及其制备方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4990974A (en) * 1989-03-02 1991-02-05 Thunderbird Technologies, Inc. Fermi threshold field effect transistor
US5814869A (en) * 1992-01-28 1998-09-29 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors
US6331458B1 (en) * 1994-10-11 2001-12-18 Advanced Micro Devices, Inc. Active region implant methodology using indium to enhance short channel performance of a surface channel PMOS device
TW304301B (zh) * 1994-12-01 1997-05-01 At & T Corp
US5460998A (en) 1995-03-17 1995-10-24 Taiwan Semiconductor Manufacturing Company Integrated P+ implant sequence in DPDM process for suppression of GIDL
US6424016B1 (en) * 1996-05-24 2002-07-23 Texas Instruments Incorporated SOI DRAM having P-doped polysilicon gate for a memory pass transistor
JP4186337B2 (ja) * 1998-09-30 2008-11-26 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6468849B1 (en) * 1999-06-11 2002-10-22 Texas Instruments Incorporated Methods and devices for optimized digital and analog CMOS transistor performance in deep submicron technology
US6548363B1 (en) 2000-04-11 2003-04-15 Taiwan Semiconductor Manufacturing Company Method to reduce the gate induced drain leakage current in CMOS devices
KR100454199B1 (ko) * 2000-11-21 2004-10-26 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
KR100495023B1 (ko) * 2000-12-28 2005-06-14 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법
US6791125B2 (en) * 2002-09-30 2004-09-14 Freescale Semiconductor, Inc. Semiconductor device structures which utilize metal sulfides
JP2004247341A (ja) * 2003-02-10 2004-09-02 Renesas Technology Corp 半導体装置
WO2004097942A1 (ja) * 2003-04-30 2004-11-11 Fujitsu Limited 半導体装置の製造方法
KR100459872B1 (ko) * 2003-05-07 2004-12-03 삼성전자주식회사 트렌치 게이트를 갖는 매몰 채널형 트랜지스터 및 그제조방법
US20060170053A1 (en) * 2003-05-09 2006-08-03 Yee-Chia Yeo Accumulation mode multiple gate transistor
US7176530B1 (en) * 2004-03-17 2007-02-13 National Semiconductor Corporation Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
US7119380B2 (en) * 2004-12-01 2006-10-10 Semisouth Laboratories, Inc. Lateral trench field-effect transistors in wide bandgap semiconductor materials, methods of making, and integrated circuits incorporating the transistors
WO2008128164A1 (en) * 2007-04-12 2008-10-23 The Penn State Research Foundation Accumulation field effect microelectronic device and process for the formation thereof
KR100924194B1 (ko) * 2007-09-17 2009-10-29 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP5299752B2 (ja) * 2008-04-28 2013-09-25 国立大学法人東北大学 半導体装置
EP2161755A1 (en) * 2008-09-05 2010-03-10 University College Cork-National University of Ireland, Cork Junctionless Metal-Oxide-Semiconductor Transistor
US8173503B2 (en) * 2009-02-23 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication of source/drain extensions with ultra-shallow junctions
US7977743B2 (en) 2009-02-25 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Alternating-doping profile for source/drain of a FET
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
CN101710585B (zh) * 2009-12-01 2011-04-27 中国科学院上海微系统与信息技术研究所 混合晶向积累型全包围栅cmos场效应晶体管
US8354721B2 (en) * 2010-02-11 2013-01-15 Shanghai Institute Of Microsystem And Information Technology, Chinese Academy Of Sciences Gate-all-around CMOSFET devices
US8860140B2 (en) * 2011-03-01 2014-10-14 Tsinghua University Tunneling field effect transistor and method for forming the same
US20170358658A1 (en) * 2014-09-26 2017-12-14 Intel Corporation Metal oxide metal field effect transistors (momfets)
FI20150334A (fi) * 2015-01-14 2016-07-15 Artto Mikael Aurola Paranneltu puolijohdekokoonpano
WO2017004409A1 (en) * 2015-07-02 2017-01-05 The Regents Of The University Of California Gate-induced source tunneling field-effect transistor
US9837416B2 (en) * 2015-07-31 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
KR102476143B1 (ko) * 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
US9735269B1 (en) * 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
KR102551589B1 (ko) * 2016-09-29 2023-07-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP6996858B2 (ja) * 2017-03-29 2022-01-17 旭化成エレクトロニクス株式会社 半導体装置及びその製造方法
US10325993B2 (en) * 2017-09-28 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device and fabrication thereof
JP2019096814A (ja) * 2017-11-27 2019-06-20 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US20180151448A1 (en) 2018-05-31
CN108122847A (zh) 2018-06-05
CN108122847B (zh) 2022-11-11
US10553494B2 (en) 2020-02-04
US20200176327A1 (en) 2020-06-04
TWI752041B (zh) 2022-01-11

Similar Documents

Publication Publication Date Title
TWI752041B (zh) 半導體裝置、積體電路以及半導體裝置的製造方法
CN103855015B (zh) FinFET及其制造方法
US10263111B2 (en) FinFET and method for manufacturing the same
JP5559201B2 (ja) メモリデバイス及びメモリデバイスの形成方法
US20130049080A1 (en) Semiconductor device and manufacturing method of semiconductor device
JP5925740B2 (ja) トンネル電界効果トランジスタ
KR20120085928A (ko) Finfet 및 트라이-게이트 디바이스들을 위한 랩-어라운드 콘택들
CN107516668B (zh) 半导体装置及其制造方法
US9373620B2 (en) Series connected transistor structure and method of manufacturing the same
US9064729B2 (en) Junction-less transistors and fabrication method thereof
US11557652B2 (en) Metal source/drain-based MOSFET and method for fabricating the same
US11742388B2 (en) Nanowire stack GAA device with selectable numbers of channel strips
US20150295070A1 (en) Finfet and method for manufacturing the same
US9299616B1 (en) Integrated circuits with separate workfunction material layers and methods for fabricating the same
US9362128B2 (en) Methods for fabricating integrated circuits and components thereof
TW201729425A (zh) 功率金屬氧化物半導體場效電晶體及用於製造其之方法
US20160247917A1 (en) Semiconductor device
US9478542B1 (en) Multi-gate device with planar channel
US7956415B2 (en) SOI transistor having a carrier recombination structure in a body
US20170263770A1 (en) Semiconductor device and manufacturing method of the same
JP5784652B2 (ja) 半導体装置
US9269709B2 (en) MOS transistor structure and method
US9502561B1 (en) Semiconductor devices and methods of forming the same
JP5730331B2 (ja) BiCMOSプロセス技術における高電圧SCRMOS
WO2014153798A1 (zh) Flash器件及其制造方法