TW201816857A - 半導體結構與其形成方法 - Google Patents

半導體結構與其形成方法 Download PDF

Info

Publication number
TW201816857A
TW201816857A TW106125717A TW106125717A TW201816857A TW 201816857 A TW201816857 A TW 201816857A TW 106125717 A TW106125717 A TW 106125717A TW 106125717 A TW106125717 A TW 106125717A TW 201816857 A TW201816857 A TW 201816857A
Authority
TW
Taiwan
Prior art keywords
substrate
dummy
active
forming
fins
Prior art date
Application number
TW106125717A
Other languages
English (en)
Other versions
TWI682442B (zh
Inventor
張哲誠
巫柏奇
林志翰
曾鴻輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201816857A publication Critical patent/TW201816857A/zh
Application granted granted Critical
Publication of TWI682442B publication Critical patent/TWI682442B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Abstract

方法包括:形成第一主動鰭狀結構與第二主動鰭狀結構於基板上。形成虛置鰭狀結構於基板上,虛置鰭狀結構夾設於第一主動鰭狀結構與第二主動鰭狀結構之間,且基板的第一部份直接位於虛置鰭狀結構下。移除虛置鰭狀結構以露出基板的第一部份。形成多個凸起結構於基板的第一部份上。形成淺溝槽隔離區於基板的第一部份上,淺溝槽隔離區覆蓋凸起結構,且至少部份的第一主動鰭狀結構與至少部份的第二主動鰭狀結構延伸超出淺溝槽隔離區的最頂部表面。

Description

半導體結構與其形成方法
本發明實施例關於鰭狀結構與其形成方法。
半導體裝置已用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。一般而言,半導體裝置的製程為依序沉積絕緣或介電層、導電層、與半導體的材料於半導體基板上,並以微影與蝕刻製程圖案化多種材料層,以形成電路構件與單元於其上。
電晶體為半導體裝置中的常用單元。舉例來說,非常大量的電晶體(比如以百計、以千計、或以百萬計)位於單一的積體電路上。用於半導體裝置製程中的電晶體,一般可為金氧半場效電晶體。平面電晶體(如平面的金氧半場效電晶體)通常包含位於基板中的通道區上的閘極介電物,以及形成於閘極介電物上的閘極。電晶體的源極區與汲極區形成於通道區的兩側上。
多閘場效電晶體為半導體技術中的新近發展。多閘場效電晶體的種類之一稱作鰭狀場效電晶體,其為包含鰭狀半導體材料的電晶體結構,且鰭狀半導體材料自積體電路之半導體表面垂直隆起。
本發明一實施例提供之半導體結構的形成方法,包括:形成第一主動鰭狀結構與第二主動鰭狀結構於基板上;形成虛置鰭狀結構於基板上,虛置鰭狀結構夾設於第一主動鰭狀結構與第二主動鰭狀結構之間,且基板的第一部份直接位於虛置鰭狀結構下;移除虛置鰭狀結構以露出基板的第一部份;形成多個凸起結構於基板的第一部份上;以及形成淺溝槽隔離區於基板的第一部份上,淺溝槽隔離區覆蓋凸起結構,且至少部份的第一主動鰭狀結構與至少部份的第二主動鰭狀結構延伸超出淺溝槽隔離區的最頂部表面。
本發明一實施例提供之半導體結構的形成方法,包括:形成第一主動基底與第二主動基底於基板上;形成虛置基底於基板上,且虛置基底夾設於第一主動基底與第二主動基底之間;形成多個第一主動鰭狀物於第一主動基底上;形成多個第二主動鰭狀物於第二主動基底上;形成多個虛置鰭狀物於虛置基底上;在虛置鰭狀物與虛置基底上進行第一蝕刻製程,以移除虛置鰭狀物與虛置基底,並形成凹陷於基板中;在凹陷的底部上進行第二蝕刻製程,以形成多個凸起結構於凹陷的底部上;以及形成淺溝槽隔離區於凹陷中,淺溝槽隔離區之最頂部表面低於第一主動鰭狀物的最頂部表面與第二主動鰭狀物的最頂部表面。
本發明一實施例提供之半導體結構,包括:多個第一鰭狀物,位於基板上,且相鄰的第一鰭狀物之間隔有多個第一凹陷;多個第二鰭狀物,位於基板上,且相鄰的第二鰭狀物之間隔有多個第二凹陷;第三凹陷,位於基板中,且第三凹 陷夾設於第一鰭狀物與第二鰭狀物之間,其中第三凹陷的底部低於第一凹陷的底部與第二凹陷的底部;以及多個凸起結構,位於第三凹陷的底部上。
B-B、C-C‧‧‧剖線
D1、D2、D3‧‧‧深度
H1、H2、H3、H4、H5、H6、H7、H8、H9、H10、H11、H12、H13、H14、H15、H16、H17、H18、H19、H20、H21‧‧‧高度
W1、W2、W3‧‧‧寬度
100‧‧‧晶圓
101‧‧‧基板
103‧‧‧第一遮罩層
105‧‧‧第二遮罩層
107‧‧‧第三遮罩層
107A‧‧‧結構
109‧‧‧遮罩堆疊
111、115、201、301A、301B、401A、401B、503、601、801、703‧‧‧開口
113‧‧‧圖案化的遮罩層
303‧‧‧部份
403A、403C‧‧‧主動鰭狀物
403B‧‧‧虛置鰭狀物
501、701‧‧‧遮罩層
603A、603C‧‧‧主動基底
603B‧‧‧虛置基底
801B、801B’‧‧‧下表面
901、905‧‧‧介電材料
903‧‧‧襯墊氧化物
1001‧‧‧淺溝槽隔離區
1200A、1200B、1200C、1200D、1200E、1300A、1300B、1300C‧‧‧鰭狀結構
1201A1、1201A2、1201A3、1201B1、1201B2、1201B3、1201C1、1201C2、1201C3、1201D1、1201D2、1201D3、1201E1、1201E2、1201E3、1301A1、1301A2、1301B1、1301B2、1301C1、 1301C2‧‧‧凸起
1203A、1203B、1203C、1203D、1203E、1303A、1303B、1303C‧‧‧坑洞
1400、1900‧‧‧半導體裝置
1401‧‧‧虛置閘極介電物
1403‧‧‧虛置閘極
1501A、1501C‧‧‧虛置閘極堆疊
1503‧‧‧閘極間隔物
1601A、1601C‧‧‧凹陷
1701A、1701C‧‧‧源極/汲極區
1801A、1801C‧‧‧鰭狀場效電晶體
1803、1805‧‧‧層間介電物
1807A、1807C‧‧‧置換閘極堆疊
1809A、1809C‧‧‧閘極介電物
1811A、1811C‧‧‧閘極
1813A、1813C‧‧‧閘極接點
1815A、1815C‧‧‧矽化物
1817A、1817C‧‧‧源極/汲極接點
2000‧‧‧方法
2001、2003、2005、2007、2009、2011、2013、2015、2017、2019‧‧‧步驟
第1A-11A、1B-11B圖係一些實施例中,鰭狀結構於製程的多種中間階段的上視圖與剖視圖。
第12A至12E圖係一些實施例中,鰭狀結構的剖視圖。
第13A至13C圖係一些實施例中,鰭狀結構的剖視圖。
第14、15A-18A、15B-18B、15C-18C圖係一些實施例中,半導體裝置於製程的多種中間階段的剖視圖。
第19A、19B、與19C圖係一些實施例中,半導體裝置的剖視圖。
第20圖係一些實施例中,形成半導體裝置的方法其流程圖。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多種例子中可重複標號以簡化與清楚說明,但不表示多種實施例及/或設置之間具有相同標號的單元具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、 「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
下述實施例關於鰭狀結構與其形成方法。在一些實施例中,鰭狀結構可用以形成鰭狀場效電晶體。下述多種實施例可放大鰭狀物的蝕刻製程容忍度、改良應力源極與汲極的磊晶製程的關鍵尺寸負載、改良化學氣相沉積的應力效應(彎曲)、改善晶圓接受度測試與可信度效能、以及改善電路針測良率。
第1A至11B圖係一些實施例中,鰭狀結構於製程之多種中間階段的上視圖與剖視圖,其中標號「A」的圖式為上視圖,而標號「B」的圖式為沿著標號「A」的圖式中剖線B-B的剖視圖。在一些實施例中,可在鰭狀結構上進一步進行製程步驟,以形成鰭狀場效電晶體(如搭配第14至18C圖說明的內容)。第1A與1B圖顯示基板101的上視圖與剖視圖,其可為部份的晶圓100。基板101可為半導體基板基板如基體半導體、絕緣層上半導體基板、或類似物,且可為摻雜(比如摻雜p型或n型摻質)或未摻雜。一般而言,絕緣層上半導體基板包含半導體材料層形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。絕緣層形成於基板上,且基板一般為矽基板或玻璃基板。此外亦可採用其他基板,比如多層基板或組成漸變基板。在一些實施例中,基板101的半導體材料可 包含矽、鍺、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。
基板101可包含積體電路裝置(未圖示)。如本技術領域中具有通常知識者所知,多種積體電路裝置如電晶體、二極體、電容、電阻、類似單元、或上述之組合可形成於基板101之中及/或之上,以產生用於鰭狀場效電晶體的設計功能需求與結構。積體電路裝置的形成方法可採用任何合適方法。
在一些實施例中,第一遮罩層103形成於基板101上,第二遮罩層105形成於第一遮罩層103上,且第三遮罩層107形成於第二遮罩層105上。第一遮罩層103可為硬遮罩,其包含氮化矽、氮氧化矽、碳化矽、氮碳化矽、類似物、或上述之組合。在一些實施例中,當第一遮罩層103包含氮化矽時,其形成方法可採用熱氮化、電漿陽極氮化、低壓化學氣相沉積、電漿增強化學氣相沉積、類似方法、或上述之組合。在一些實施例中,第一遮罩層103的厚度可介於約10Å至約1000Å之間。應理解的是,本發明所述的數值僅用以舉例,其可在不改變本發明原理的前提下採用不同數值。
第二遮罩層105可為硬遮罩,其包含氧化物(如氧化矽)或類似物。第二遮罩層105亦可稱作墊氧化物。在一些實施例中,第二遮罩層105的形成方法可採用原子層沉積、化學氣相沉積、熱氧化、類似方法、或上述之組合。在一些實施例中,第二遮罩層105的厚度可介於約20Å至約500Å之間。第三遮罩 層107的材料與形成方法可與第一遮罩層103的材料與形成方法類似,在此不重複說明。在一些實施例中,第三遮罩層107的厚度可介於約100Å至約2000Å之間。第一遮罩層103、第二遮罩層105、與第三遮罩層107之堆疊亦可稱作遮罩堆疊109。
如第1A與1B圖所示,遮罩堆疊109的第三硬遮罩層107經圖案化後,形成多個開口111於其中。在一些實施例中,第三遮罩層107的圖案化方法可採用合適的光微影與蝕刻製程。在其他實施例中,圖案化的第三遮罩層107的形成方法可採用多重圖案化製程,比如自對準雙重圖案化製程、自對準四重圖案化製程、或類似製程,其可用以形成關鍵尺寸與間距縮小的結構。在這些實施例中,可形成一或多個額外遮罩層(未圖示)、一或多個芯層(未圖示)、與一或多個間隔物層(未圖示)於遮罩堆疊109上。舉例來說,可形成芯層(未圖示)於第二遮罩層105上並圖案化芯層。圖案化的第三遮罩層107形成於圖案化的芯層其側壁上。接著移除圖案化的芯層,並保留圖案化的第三遮罩層107。在此實施例中,圖案化的第三遮罩層107其未移除的結構107A具有一致的寬度與間距。在其他實施例中,圖案化的第三遮罩層107其結構107A可具有不一致的寬度及/或不一致的間距。
在一些實施例中,圖案化的遮罩層113形成於遮罩堆疊109上,且圖案化的遮罩層113具有開口115。圖案化的遮罩層113可包含光可圖案化的材料(比如光阻材料),且其形成方法可採用旋轉塗佈或類似方法。在其他實施例中,圖案化的遮罩層113可包含非光可圖案化的材料。在其他實施例中,圖案 化的遮罩層113可包含光可圖案化的材料與非光可圖案化的材料之層狀物形成的多層遮罩。在一些實施例中,圖案化的遮罩層113包含光阻材料,並曝光顯影光阻材料以移除部份的光阻材料,並形成開口115。在此實施例中,每一開口115露出圖案化的第三遮罩層107其兩個結構107A。在其他實施例中,每一開口115可露出圖案化的第三遮罩層107其結構107A的數目多於或少於2。
如第2A與2B圖所示,採用合適的蝕刻製程移除第三遮罩層107其露出的結構107A。在一些實施例中,當第三遮罩層107包含氮化矽時,選擇性移除第三遮罩層107A其露出的結構107A之方法,可為採用熱磷酸作為蝕刻品的濕蝕刻製程。接著採用合適的移除製程移除圖案化的遮罩層113(見第1A與1B圖)。在一些實施例中,當圖案化的遮罩層113之組成為光阻材料時,其移除方法可為灰化製程後進行濕式清潔製程。在一些實施例中,在移除圖案化的遮罩層113後,蓋層(未圖示)可順應性地形成於第二遮罩層105與第三遮罩層107上。蓋層可包含氮化矽,且其厚度可介於約100Å至約1000Å之間。
如第2A與2B圖所示,在移除圖案化的第三遮罩層107其露出的結構107A之後,圖案化的第三遮罩層107包含原本的開口111及新的開口201(來自於移除圖案化的第三遮罩層107其露出的結構107A)。在一些實施例中,開口201的寬度W2大於開口111的寬度W1。舉例來說,一些實施例中每一開口201的形成方法為移除圖案化的第三遮罩層107其兩個結構107A,而開口201的寬度W2與三倍的開口111其寬度W1以及兩倍的圖 案化的第三遮罩層107的結構107A的寬度W3之總合幾乎相等(W2=3W1+2W3)。開口111的寬度W1可介於約10Å至約200Å之間,開口201的寬度W2可介於約50Å至約1000Å之間,且圖案化的第三遮罩層107其結構107A的寬度W3可介於約100Å至約1000Å之間。
如第3A與3B圖所示,採用第三遮罩層107(見第2A與2B圖)作為蝕刻遮罩,圖案化遮罩堆疊109的第一遮罩層103與第二遮罩層105,以形成開口301A與301B於遮罩堆疊109中。在一些實施例中,遮罩堆疊109的第一遮罩層103與第二遮罩層105,其圖案化的方法可採用一或多道合適的蝕刻製程。在圖案化製程時,可消耗第三遮罩層107。開口301A與301B露出部份的基板101。第3A與3B圖中,開口301A與301B的圖案以及遮罩堆疊109其未移除的部份303的圖案,僅用以舉例說明。在其他實施例中,開口301A與301B的圖案以及遮罩堆疊109其未移除的部份303的圖案可依鰭狀場效電晶體的設計需求改變。開口301A對應開口111(見第2A與2B圖),且可具有與寬度W1幾乎相同的寬度。開口301B對應開口201(見第2A與2B圖),且可具有與寬度W2幾乎相同的寬度。
如第4A與4B圖所示,圖案化基板101以形成開口401A與401B於其中。開口401A對應遮罩堆疊109中的開口301A,而開口401B對應遮罩堆疊109中的開口301B,見第3A與3B圖。開口401A與開口301A可具有類似的上視圖案,而開口401B與開口301B可具有類似的上視圖案。在一些實施例中,開口401B的寬度可大於開口401A的寬度。開口401A與401B的深 度D1可介於約50Å至約500Å之間。基板101的半導體材料帶夾設於相鄰的開口401A與401B之間,以形成主動鰭狀物403A、虛置鰭狀物403B、與主動鰭狀物403C。在一些實施例中,相鄰的主動鰭狀物403A之間隔有個別的開口401A,相鄰的虛置鰭狀物403B之間隔有個別的開口401A,且相鄰的主動鰭狀物403C之間隔有個別的開口401A。此外,主動鰭狀物403A與虛置鰭狀物403B之間隔有個別的開口401B,虛置鰭狀物403B與主動鰭狀物403C之間隔有個別的開口401B。在一些實施例中,基板101可由合適的蝕刻製程圖案化,且採用遮罩堆疊109其未移除的部份303作為蝕刻遮罩。合適的蝕刻製程可包含非等向乾蝕刻製程或類似方法。在一些實施例中,基板101之組成為矽,且圖案化方法可為反應性離子蝕刻製程,其採用的蝕刻製程氣體包含氮氣、二氟甲烷、四氟化碳、氟仿、氟化甲烷、溴化氫、三氟化氮、氬、氦、氯氣、四氯化矽、類似物、或上述之組合。反應性離子蝕刻製程的壓力可介於約1mTorr至約500mTorr之間、溫度可介於約20℃至約100℃之間、且射頻功率可介於約50W至約1000W之間。
如第5A與5B圖所示,遮罩層501形成於基板101、主動鰭狀物403A、虛置鰭狀物403B、與主動鰭狀物403C上。在一些實施例中,遮罩層501的材料與形成方法可與圖案化的遮罩層113的材料與形成方法(見搭配第1A與1B圖說明的上述內容)類似,在此不重複說明以達簡潔目的。遮罩層501經圖案化後,形成開口503於遮罩層501中。在一些實施例中,遮罩層501的圖案化方法可與圖案化的遮罩層113之形成方法(見搭配 第1A與1B圖說明的上述內容)類似,在此不重複說明以達簡潔目的。開口503露出開口401B的部份底部。在一些實施例中,開口503的寬度小於開口401B的寬度。在一些實施例中,開口503沿著長度方向可具有延長部份,且開口503在上視圖中彼此平行。此外,開口503的長度方向平行於開口111、201、401A、與401B的長度方向,見第2A至4B圖。
如第6A與6B圖所示,圖案化基板101以形成開口601於基板101中。開口601對應遮罩層501中的個別開口503,見第5A與5B圖。開口601與開口503可具有類似的上視圖案。在一些實施例中,開口601的寬度可與開口503的寬度幾乎相同。開口601的深度D2可介於約100Å至約1000Å之間。開口601的底部低於開口401A的底部。圖案化製程亦形成分別用於主動鰭狀物403A、虛置鰭狀物403B、與主動鰭狀物403C的主動基底603A、虛置基底603B、與主動基底603C。主動基底603A、虛置基底603B、與主動基底603C夾設於相鄰的開口601之間。在此實施例中,主動鰭狀物403A與對應的主動基底603A、虛置鰭狀物403B與對應的虛置基底603B、以及主動鰭狀物403C與對應的主動基底603C為冠狀。綜上所述,這些結構因此亦可稱作冠狀鰭狀結構。在此實施例中,每一冠狀鰭狀結構包含三個鰭狀物。在其他實施例中,每一冠狀鰭狀結構可包含少於或多於三個鰭狀物,端視鰭狀場效電晶體之驅動電流的設計而定。在一些實施例中,基板101可由合適的蝕刻製程圖案化,其採用遮罩層501(見第5A與5B圖)作為蝕刻遮罩。合適的蝕刻製程可包含非等向乾蝕刻製程或類似方法。在一些實施例中, 基板101之組成為矽,並以反應性離子蝕刻製程圖案化基板101,其採用的蝕刻製程氣體包含氮氣、二氟甲烷、四氟化碳、氟仿、氟化甲烷、溴化氫、三氟化氮、氬、氦、氯氣、四氯化矽、類似物、或上述之組合。反應性離子蝕刻製程的壓力可介於約1mTorr至約500mTorr之間、溫度可介於約20℃至約150℃之間、且射頻功率可介於約10W至約500W之間。在圖案化製程中,可部份或完全消耗遮罩層501。若在圖案化製程後殘留任何遮罩層501於基板101、主動鰭狀物403A、虛置鰭狀物403B、與主動鰭狀物403C上,亦可移除殘留的遮罩層501。在一些實施例中,遮罩層501之組成為光阻材料,則殘留的遮罩層501其移除方法可為灰化製程後進行濕式清潔製程。
如第6A與6B圖所示,並非所有的主動鰭狀物403A、虛置鰭狀物403B、與主動鰭狀物403C均為主動鰭狀物以用於形成鰭狀場效電晶體。在一些實施例中,主動鰭狀物403A與403C為主動鰭狀物,而虛置鰭狀物403B為虛置鰭狀物且不用於形成鰭狀場效電晶體。之後將移除虛置鰭狀物403B與虛置基底603B,其內容將詳述如下。在此實施例中,圖示兩個主動鰭狀結構(如主動鰭狀物403A與對應的主動基底603A,以及主動鰭狀物403C與對應的主動基底603C)與一個虛置鰭狀結構(如虛置鰭狀物403B與對應的虛置基底603B)。在其他實施例中,可形成多個主動鰭狀結構與多個虛置鰭狀結構於基板101上,因此每一虛置鰭狀結構夾設於相鄰的主動鰭狀結構之間。在此實施例中,主動鰭狀結構與虛置鰭狀結構為原本基板101的一部份。在其他實施例中,主動鰭狀結構與虛置鰭狀結 構可形成於基板101上,其形成方法可為磊晶成長合適的半導體材料於基板101上。
如第7A與7B圖所示,遮罩層701形成於基板101、主動鰭狀物403A、虛置鰭狀物403B、與主動鰭狀物403C上。在一些實施例中,遮罩層701的材料與形成方法可與圖案化的遮罩層113的材料與形成方法類似,見搭配第1A與1B圖說明的上述內容,在此不重複說明以達簡潔目的。遮罩層701經圖案化後,形成開口703於遮罩層701中。在一些實施例中,遮罩層701的圖案化方法可與圖案化的遮罩層113的形成方法類似,見搭配第1A與1B圖說明的上述內容,在此不重複說明以達簡潔目的。開口703露出個別的虛置鰭狀結構,比如虛置鰭狀物403B與對應的虛置基底603B。在一些實施例中,開口703的寬度大於或實質上等於虛置基底603B的寬度。
如第8A與8B圖所示,移除虛置鰭狀結構(如虛置鰭狀物403B與對應的虛置基底603B),以形成分隔主動鰭狀結構(如主動鰭狀物403A與對應的主動基底603A,以及主動鰭狀物403C與對應的主動基底603C)的開口801。此外,亦移除部份的主動鰭狀物(如主動鰭狀物403A與主動鰭狀物403C)。在一些實施例中,採用遮罩層701(見第7A與7B圖)作為蝕刻遮罩的主要蝕刻製程,可移除虛置鰭狀結構如虛置鰭狀物403B及對應的虛置基底603B。主要蝕刻製程可包含合適的蝕刻製程,比如非等向乾蝕刻製程或類似製程。在一些實施例中,基板101的組成為矽,而主要蝕刻製程包含非等向離子蝕刻製程,其蝕刻製程氣體包含氮氣、二氟甲烷、四氟化碳、氟仿、氟化甲烷、溴化 氫、三氟化氮、氬、氦、氯氣、四氯化矽、類似物、或上述之組合。反應性離子蝕刻的壓力介於約3mTorr至約30mTorr之間,溫度介於約15℃至70℃之間,且射頻功率小於約1500W。
在蝕刻製程中,位於虛置鰭狀物(如虛置鰭狀度403B)上的遮罩堆疊109其未移除的部份303,將暴露至蝕刻品一段時間並被蝕刻以露出下方的虛置鰭狀物(見第7A與7B圖)。接著蝕刻露出的虛置鰭狀物(如虛置鰭狀物403B),並露出對應的虛置基底(如虛置基底603B)。接著蝕刻虛置基底(如虛置基底603B),直到完全移除虛置基底。在一些實施例中,主要蝕刻製程的主要蝕刻時間可完全移除虛置鰭狀結構(如虛置鰭狀物403B與虛置基底603B)。在一些實施例中,主要蝕刻製程的主要蝕刻時間可介於約5秒至約200秒之間。
在一些實施例中,在完全移除虛置鰭狀結構(如虛置鰭狀物403B與對應的虛置基底603B)之後,可在下方的基板101上進行過蝕刻製程,且過蝕刻製程的時間稱作過蝕刻時間。在一些實施例中,過蝕刻時間可介於約1秒至約20秒之間,且過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可介於約0.1至約1.5之間。開口801的底部可具有不同結構與形狀,端視主要蝕刻與過蝕刻製程參數與過蝕刻-主要蝕刻比例。在一些實施例中,下表面801B為平面的表面。在其他實施例中,兩個、三個、或更多坑洞與凸起可形成於開口801的底部,因此下表面801B’為非平面的表面。在這些實施例中,凸起直接產生於被移除的虛置鰭狀物(如虛置鰭狀物403B,見第7A與7B圖)下方,並垂直對準被移除的虛置鰭狀物(如虛置鰭狀物403B)。 上述凸起的數目與被移除的虛置鰭狀物的數目相同。在其他實施例中,坑洞直接產生於被移除的虛置鰭狀物(如虛置鰭狀物403B)下方,並垂直對準被移除的虛置鰭狀物(如虛置鰭狀物403B)。上述坑洞的數目與被移除的虛置鰭狀物的數目相同。在其他實施例中,坑洞的數目及/或凸起的數目,可不同於被移除的虛置鰭狀物(如虛置鰭狀物403B)的數目。在一些實施例中,主要蝕刻製程與過蝕刻製程可採用不同的蝕刻製程氣體混合物、不同的溫度、不同的壓力、不同的射頻功率、不同的偏電壓、及/或不同的蝕刻時間,以達開口801之底部所需的結構。舉例來說,主要蝕刻製程採用的製程氣體混合物可包括四氟化碳、六氟化硫、三氟化氮、氮氣、氯氣、類似物、或上述之組合,而過蝕刻製程採用的製程氣體混合物可包括氧氣、溴化氫、氫氣、氮氣、類似物、或上述之組合。
在主要蝕刻與過蝕刻製程中,可消耗部份的遮罩層701(見第7A與8B圖)。接著可移除殘留的遮罩層701。在一些實施例中,遮罩層701的組成為光阻材料,則移除殘留遮罩層701的方法可為灰化製程之後進行濕式清潔製程。在完成主要蝕刻與過蝕刻等製程後,開口801的深度D3可介於約10nm至約200nm之間。在一些實施例中,開口801的深度D3可大於開口601的深度D2(見第6A與6B圖)。在其他實施例中,開口801的深度D3可與開口601的深度D2幾乎相同。
如第9A與9B圖所示,介電材料901形成於基板101上以填入開口401A與801(見第8A與8B圖)。在一些實施例中,介電材料901包含襯墊氧化物903,以及襯墊氧化物903上的介 電材料905。襯墊氧化物903可順應性的形成,其水平部份與垂直部份的厚度彼此相近。在一些實施例中,襯墊氧化物903的厚度可介於約5Å至約100Å之間。
在一些實施例中,襯墊氧化物903的形成方法為藉由局部氧化矽製程,在含氧環境中氧化基板101、主動鰭狀物403A與403C、以及主動基底603A與603C的露出表面,其中氧氣包含於個別的製程氣體中。在其他實施例中,襯墊氧化物903的形成方法為臨場蒸氣產生氧化法,其採用水蒸氣(或氫氣與氧氣的結合氣體)氧化基板101、主動鰭狀物403A與403C、以及主動基底603A與603C的露出表面。臨場蒸氣產生氧化法可在升溫條件下進行。在其他實施例中,襯墊氧化物903的形成方法為沉積技術,比如原子層沉積、化學氣相沉積、次壓化學氣相沉積、類似方法、或上述之組合。
接著形成介電材料905,以填入開口401A與801(見第8A與8B圖)的其餘部份。介電材料905可超出開口401A與801,因此部份的介電材料905延伸至圖案化的遮罩堆疊109之上表面上。在一些實施例中,介電材料905可包含氧化矽、碳化矽、氮化矽、類似物、或上述之組合,且其形成方法可採用可流動的化學氣相沉積、旋轉塗佈、化學氣相沉積、原子層沉積、高密度電漿化學氣相沉積、低壓化學氣相沉積、類似方法、或上述之組合。在一些實施例中,以可流動的化學氣相沉積形成介電材料905時,可採用含矽與氮的前驅物(如三矽烷基胺或二矽烷基胺),因此形成的介電材料905為可流動(果凍狀)。在其他實施例中,可流動的介電材料905之形成方法採用烷基胺 基矽烷為主的前驅物。在沉積介電材料905時,可開啟電漿活化氣態前驅物,以形成可流動的氧化物。在沉積介電材料905之後,可進行回火/硬化步驟使可流動的介電材料905轉變成固態的介電材料。
如第10A與10B圖所示,將延伸於圖案化的遮罩堆疊109之上表面上的部份介電材料901(見第9A與9B圖)移除。保留的部份介電材料901形成隔離區,其亦稱作淺溝槽隔離區1001。在一些實施例中,進行化學機械研磨以移除延伸於圖案化的遮罩堆疊109之上表面上的部份介電材料901。在這些實施例中,遮罩堆疊109可作為化學機械研磨停止層,因此遮罩堆疊109的上表面與淺溝槽隔離區1001的上表面實質上共平面。在其他實施例中,將將延伸於圖案化的遮罩堆疊109之上表面上的部份介電材料901移除的方法,可採用研磨、蝕刻、類似方法、或上述之組合。在第10A與10B圖與後續的第11A至19C圖中,未圖示襯墊氧化物903與介電材料905(見第9A與9B圖),但上述層狀物仍存在。由於襯墊氧化物903與介電材料905的材料特性不同,比如不同的材料種類及/或不同密度,兩者之間具有可區別的界面。另一方面,襯墊氧化物903與介電材料905之間不具可區別的界面。
如第11A與11B圖所示,移除圖案化的遮罩堆疊109(見第10A與10B圖)。在一些實施例中,第一遮罩層103包含氮化矽,而第二遮罩層105包含氧化矽,則第二遮罩層105可移除於採用緩衝氫氟酸作為蝕刻品的濕式製程,而第一遮罩層103可移除於採用熱磷酸作為蝕刻品的濕式製程。接著可使淺溝槽 隔離區1001凹陷,以露出主動鰭狀物403A與403C。使淺溝槽隔離區1001凹陷的方法可採用等向或非等向的蝕刻製程,其可為乾蝕刻製程或濕蝕刻製程。在一些實施例中,使淺溝槽隔離區1001凹陷的方法採用乾蝕刻方法,其製程氣體包含氨與三氟化氮。在其他實施例中,使淺溝槽隔離區1001凹陷的方法採用濕蝕刻方法,其蝕刻品溶液為稀釋的氫氟酸溶液(氫氟酸濃度小於約1%)。在一些實施例中,第二遮罩層105與淺溝槽隔離區1001之組成均為氧化矽,則相同的蝕刻製程可移除第二遮罩層105並使淺溝槽隔離區1001凹陷。
在使淺溝槽隔離區1001凹陷後,主動鰭狀物403A與403C凸起超出淺溝槽隔離區1001的上表面。在一些實施例中,完全移除直接位於主動基底603A與603C上的部份淺溝槽隔離區1001,且保留的淺溝槽隔離區1001的上表面與主動基底603A與603C的上表面實質上共平面(或略低於主動基底603A與603C的上表面)。在其他實施例中,部份地移除直接位於主動基底603A與603C上的部份淺溝槽隔離區1001,且保留的淺溝槽隔離區1001的上表面高於主動基底603A與603C的上表面。
第12A至12E圖係一些實施例中,鰭狀結構1200A、1200B、1200C、1200D、與1200E的剖視圖。第12A至12E圖所示的實施例中,開口801之底部中的凸塊數目,等於被移除的虛置鰭狀物的數目。第12A圖係一些實施例中,鰭狀結構1200A的剖視圖。鰭狀結構1200A的形成方法可與第11A及11B圖所示之鰭狀結構的形成方法類似(見搭配第1A至11B圖說明的上述 內容),在此不重複說明以達簡潔目的。鰭狀結構1200A與第11A及11B圖的鰭狀結構中的類似結構,將以相同標號標示。鰭狀結構1200A包含基板101、主動鰭狀物403A與403C、對應的主動基底603A與603C、以及開口401A與801中的淺溝槽隔離區1001(見第9A與9B圖)。開口801可分隔主動鰭狀物403A與403C及對應的主動基底603A與603C,而開口801的形成方法為移除虛置鰭狀物403B及對應的虛置基底603B(與搭配第7A至8B圖說明的上述方法類似,在此不重複說明以達簡潔目的)。在此實施例中,開口801的下表面801B為非平面的表面。開口801的底部包含三個凸起1201A1、1201A2、與1201A3(統稱為凸起1201A),彼此之間隔有坑洞1203A,因此凸起1201A的數目與被移除的虛置鰭狀物403B(見第7A與7B圖)的數目相同。在此實施例中,坑洞1203A的最底部表面,為開口801離淺溝槽隔離區1001最遠的部份下表面801B的一部份。凸起1201A可垂直對準被移除的虛置鰭狀物403B。在一些實施例中,可改變被移除的虛置鰭狀物403B的數目,以改變凸起1201A的數目。
在此實施例中,凸起1201A1的高度H1與凸起1201A3的高度H3實質上相同,而凸起1201A2的高度H2小於凸起1201A1的高度H1與凸起1201A3的高度H3。高度H1可介於約10nm至約200nm之間,高度H2可介於約10nm至約150nm之間,且高度H1與高度H2的差距可介於約1nm至約200nm之間。在其他實施例中,高度H1、高度H2、與高度H3彼此可實質上相同或不同,端視主要蝕刻製程與過蝕刻製程的蝕刻製程參數以及過蝕刻-主要蝕刻比例而定。藉由調整主要蝕刻製程與過蝕刻製 程的製程參數,可得圖式中開口801之底部結構。可調的製程參數可包含製程氣體混合物、溫度、壓力、射頻功率、偏電壓、及/或過蝕刻-主要蝕刻比例。在此實施例中,過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可調整至介於約0.1至約0.35之間。
第12B圖係一些實施例中,鰭狀結構1200B的剖視圖。鰭狀結構1200B的形成方法可與第11A及11B圖所示之鰭狀結構的形成方法類似(見搭配第1A至11B圖說明的上述內容),在此不重複說明以達簡潔目的。鰭狀結構1200B與第11A及11B圖的鰭狀結構中的類似結構,將以相同標號標示。鰭狀結構1200B包含基板101、主動鰭狀物403A與403C、對應的主動基底603A與603C、以及開口401A與801中的淺溝槽隔離區1001(見第9A與9B圖)。開口801可分隔主動鰭狀物403A與403C及對應的主動基底603A與603C,而開口801的形成方法為移除虛置鰭狀物403B及對應的虛置基底603B(與搭配第7A至8B圖說明的上述方法類似,在此不重複說明以達簡潔目的)。在此實施例中,開口801的下表面801B為非平面的表面。開口801的底部包含三個凸起1201B1、1201B2、與1201B3(統稱為凸起1201B),彼此之間隔有坑洞1203B,因此凸起1201B的數目與被移除的虛置鰭狀物403B(見第7A與7B圖)的數目相同。在此實施例中,坑洞1203B的最底部表面,為開口801離淺溝槽隔離區1001最遠的部份下表面801B的一部份。凸起1201B可垂直對準被移除的虛置鰭狀物403B。在一些實施例中,可改變被移除的虛置鰭狀物403B的數目,以改變凸起1201B的數目。在此實施例中,凸起 1201B1的高度H4與凸起1201B3的高度H6實質上相同,而凸起1201B2的高度H5小於凸起1201B1的高度H4與凸起1201B3的高度H6。高度H4可介於約10nm至約250nm之間,高度H5可介於約1nm至約200nm之間,且高度H4與高度H5的差距可介於約10nm至約50nm之間。在其他實施例中,高度H4、高度H5、與高度H6彼此可實質上相同或不同,端視主要蝕刻製程與過蝕刻製程的蝕刻製程參數以及過蝕刻-主要蝕刻比例而定。藉由調整主要蝕刻製程與過蝕刻製程的製程參數,可得圖式中開口801之底部結構。可調的製程參數可包含製程氣體混合物、溫度、壓力、射頻功率、偏電壓、及/或過蝕刻-主要蝕刻比例。在此實施例中,過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可介於約0.4至約0.8之間。
第12C圖係一些實施例中,鰭狀結構1200C的剖視圖。鰭狀結構1200C的形成方法可與第11A及11B圖所示之鰭狀結構的形成方法類似(見搭配第1A至11B圖說明的上述內容),在此不重複說明以達簡潔目的。鰭狀結構1200C與第11A及11B圖的鰭狀結構中的類似結構,將以相同標號標示。鰭狀結構1200C包含基板101、主動鰭狀物403A與403C、對應的主動基底603A與603C、以及開口401A與801中的淺溝槽隔離區1001(見第9A與9B圖)。開口801可分隔主動鰭狀物403A與403C及對應的主動基底603A與603C,而開口801的形成方法為移除虛置鰭狀物403B及對應的虛置基底603B(與搭配第7A至8B圖說明的上述方法類似,在此不重複說明以達簡潔目的)。在此實施例中,開口801的下表面801B為非平面的表面。開口801的底部 包含三個凸起1201C1、1201C2、與1201C3(統稱為凸起1201C),彼此之間隔有坑洞1203C,因此凸起1201C的數目與被移除的虛置鰭狀物403B(見第7A與7B圖)的數目相同。在此實施例中,坑洞1203C的最底部表面,高於開口801離淺溝槽隔離區1001最遠的部份下表面801B。凸起1201C可垂直對準被移除的虛置鰭狀物403B。在一些實施例中,可改變被移除的虛置鰭狀物403B的數目,以改變凸起1201C的數目。在此實施例中,凸起1201C1的高度H7與凸起1201C3的高度H9實質上相同,而凸起1201C2的高度H8大於凸起1201C1的高度H7與凸起1201C3的高度H9。高度H7可介於約5nm至約100nm之間,高度H8可介於約5nm至約80nm之間,且高度H9可介於約5nm至約200nm之間。在其他實施例中,高度H7、高度H8、與高度H9彼此可實質上相同或不同,端視主要蝕刻製程與過蝕刻製程的蝕刻製程參數以及過蝕刻-主要蝕刻比例而定。藉由調整主要蝕刻製程與過蝕刻製程的製程參數,可得圖式中開口801之底部結構。可調的製程參數可包含製程氣體混合物、溫度、壓力、射頻功率、偏電壓、及/或過蝕刻-主要蝕刻比例。在此實施例中,過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可介於約0.5至約0.7之間。
第12D圖係一些實施例中,鰭狀結構1200D的剖視圖。鰭狀結構1200D的形成方法可與第11A及11B圖所示之鰭狀結構的形成方法類似(見搭配第1A至11B圖說明的上述內容),在此不重複說明以達簡潔目的。鰭狀結構1200D與第11A及11B圖的鰭狀結構中的類似結構,將以相同標號標示。鰭狀結構1200D包含基板101、主動鰭狀物403A與403C、對應的主動基 底603A與603C、以及開口401A與801中的淺溝槽隔離區1001(見第9A與9B圖)。開口801可分隔主動鰭狀物403A與403C及對應的主動基底603A與603C,而開口801的形成方法為移除虛置鰭狀物403B及對應的虛置基底603B(與搭配第7A至8B圖說明的上述方法類似,在此不重複說明以達簡潔目的)。在此實施例中,開口801的下表面801B為非平面的表面。開口801的底部包含三個凸起1201D1、1201D2、與1201D3(統稱為凸起1201D),彼此之間隔有坑洞1203D,因此凸起1201D的數目與被移除的虛置鰭狀物403B(見第7A與7B圖)的數目相同。在此實施例中,坑洞1203D的最底部表面,高於開口801離淺溝槽隔離區1001最遠的部份下表面801B。凸起1201D可垂直對準被移除的虛置鰭狀物403B。在一些實施例中,可改變被移除的虛置鰭狀物403B的數目,以改變凸起1201D的數目。在此實施例中,凸起1201D1的高度H10、凸起1201D2的高度H11、與凸起1201D3的高度H12實質上相同。高度H10可介於約5nm至約180nm之間,高度H11可介於約5nm至約100nm之間,且高度H12可介於約5nm至約200nm之間。在其他實施例中,高度H10、高度H11、與高度H12彼此不同,端視主要蝕刻製程與過蝕刻製程的蝕刻製程參數以及過蝕刻-主要蝕刻比例而定。藉由調整主要蝕刻製程與過蝕刻製程的製程參數,可得圖式中開口801之底部結構。可調的製程參數可包含製程氣體混合物、溫度、壓力、射頻功率、偏電壓、及/或過蝕刻-主要蝕刻比例。在此實施例中,過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可介於約0.8至約1.2之間。
第12E圖係一些實施例中,鰭狀結構1200E的剖視圖。鰭狀結構1200E的形成方法可與第11A及11B圖所示之鰭狀結構的形成方法類似(見搭配第1A至11B圖說明的上述內容),在此不重複說明以達簡潔目的。鰭狀結構1200E與第11A及11B圖的鰭狀結構中的類似結構,將以相同標號標示。鰭狀結構1200E包含基板101、主動鰭狀物403A與403C、對應的主動基底603A與603C、以及開口401A與801中的淺溝槽隔離區1001(見第9A與9B圖)。開口801可分隔主動鰭狀物403A與403C及對應的主動基底603A與603C,而開口801的形成方法為移除虛置鰭狀物403B及對應的虛置基底603B(與搭配第7A至8B圖說明的上述方法類似,在此不重複說明以達簡潔目的)。在此實施例中,開口801的下表面801B為非平面的表面。開口801的底部包含三個凸起1201E1、1201E2、與1201E3(統稱為凸起1201E),彼此之間隔有坑洞1203E,因此凸起1201E的數目與被移除的虛置鰭狀物403B(見第7A與7B圖)的數目相同。在此實施例中,坑洞1203E的最底部表面,為開口801離淺溝槽隔離區1001最遠的部份下表面801B的一部份。凸起1201E可垂直對準被移除的虛置鰭狀物403B。在一些實施例中,可改變被移除的虛置鰭狀物403B的數目,以改變凸起1201E的數目。在此實施例中,凸起1201E1的高度H13、凸起1201E2的高度H14、與凸起1201E3的高度H15實質上相同。高度H13可介於約10nm至約80nm之間,高度H14可介於約10nm至約60nm之間,且高度H15可介於約10nm至約150nm之間。在其他實施例中,高度H13、高度H14、與高度H15彼此不同,端視主要蝕刻製程與過蝕刻製程的蝕刻製程參 數以及過蝕刻-主要蝕刻比例而定。藉由調整主要蝕刻製程與過蝕刻製程的製程參數,可得圖式中開口801之底部結構。可調的製程參數可包含製程氣體混合物、溫度、壓力、射頻功率、偏電壓、及/或過蝕刻-主要蝕刻比例。在此實施例中,過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可介於約0.2至約0.4之間。
第13A至13C圖係一些實施例中,鰭狀結構1300A、1300B、與1300C的剖視圖。第13A至13C圖所示的實施例中,開口801之底部中的凸塊數目,不等於被移除的虛置鰭狀物的數目。第13A圖係一些實施例中,鰭狀結構1300A的剖視圖。鰭狀結構1300A的形成方法可與第11A及11B圖所示之鰭狀結構的形成方法類似(見搭配第1A至11B圖說明的上述內容),在此不重複說明以達簡潔目的。鰭狀結構1300A與第11A及11B圖的鰭狀結構中的類似結構,將以相同標號標示。鰭狀結構1300A包含基板101、主動鰭狀物403A與403C、對應的主動基底603A與603C、以及開口401A與801中的淺溝槽隔離區1001(見第9A與9B圖)。開口801可分隔主動鰭狀物403A與403C及對應的主動基底603A與603C,而開口801的形成方法為移除虛置鰭狀物403B及對應的虛置基底603B(與搭配第7A至8B圖說明的上述方法類似,在此不重複說明以達簡潔目的)。在此實施例中,開口801的下表面801B為非平面的表面。開口801的底部包含兩個凸起1301A1與1301A2(統稱為凸起1301A),兩者之間隔有坑洞1303A,因此凸起1301A的數目不同於被移除的虛置鰭狀物403B(見第7A與7B圖)的數目。在此實施例中,坑 洞1303A的最底部表面,為開口801離淺溝槽隔離區1001最遠的部份下表面801B的一部份。在此實施例中,凸起1301A1的高度H16與凸起1301A2的高度H17實質上相同。在其他實施例中,凸起1301A1的高度H16與凸起1301A2的高度H17不同。在一些實施例中,高度H16可介於約1nm至約200nm之間,而高度H17可介於約1nm至約200nm之間。藉由調整主要蝕刻製程與過蝕刻製程的製程參數,可得圖式中開口801之底部結構。可調的製程參數可包含製程氣體混合物、溫度、壓力、射頻功率、偏電壓、及/或過蝕刻-主要蝕刻比例。在此實施例中,過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可介於約0.85至約1.5之間。
第13B圖係一些實施例中,鰭狀結構1300B的剖視圖。鰭狀結構1300B的形成方法可與第11A及11B圖所示之鰭狀結構的形成方法類似(見搭配第1A至11B圖說明的上述內容),在此不重複說明以達簡潔目的。鰭狀結構1300B與第11A及11B圖的鰭狀結構中的類似結構,將以相同標號標示。鰭狀結構1300B包含基板101、主動鰭狀物403A與403C、對應的主動基底603A與603C、以及開口401A與801中的淺溝槽隔離區1001(見第9A與9B圖)。開口801可分隔主動鰭狀物403A與403C及對應的主動基底603A與603C,而開口801的形成方法為移除虛置鰭狀物403B及對應的虛置基底603B(與搭配第7A至8B圖說明的上述方法類似,在此不重複說明以達簡潔目的)。在此實施例中,開口801的下表面801B為非平面的表面。開口801的底部包含兩個凸起1301B1與1301B2(統稱為凸起1301B),兩者之間隔有坑洞1303B,因此凸起1301B的數目不同於被移除的虛置鰭 狀物403B(見第7A與7B圖)的數目。在此實施例中,坑洞1303B的最底部表面,低於開口801離淺溝槽隔離區1001最遠的部份下表面801B。在此實施例中,凸起1301B1的高度H18與凸起1301B2的高度H19實質上相同。在其他實施例中,凸起1301B1的高度H18與凸起1301B2的高度H19不同。在一些實施例中,高度H18可介於約10nm至約100nm之間,而高度H19可介於約10nm至約130nm之間。藉由調整主要蝕刻製程與過蝕刻製程的製程參數,可得圖式中開口801之底部結構。可調的製程參數可包含製程氣體混合物、溫度、壓力、射頻功率、偏電壓、及/或過蝕刻-主要蝕刻比例。在此實施例中,過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可介於約0.9至約1.3之間。
第13C圖係一些實施例中,鰭狀結構1300C的剖視圖。鰭狀結構1300C的形成方法可與第11A及11B圖所示之鰭狀結構的形成方法類似(見搭配第1A至11B圖說明的上述內容),在此不重複說明以達簡潔目的。鰭狀結構1300C與第11A及11B圖的鰭狀結構中的類似結構,將以相同標號標示。鰭狀結構1300C包含基板101、主動鰭狀物403A與403C、對應的主動基底603A與603C、以及開口401A與801中的淺溝槽隔離區1001(見第9A與9B圖)。開口801可分隔主動鰭狀物403A與403C及對應的主動基底603A與603C,而開口801的形成方法為移除虛置鰭狀物403B及對應的虛置基底603B(與搭配第7A至8B圖說明的上述方法類似,在此不重複說明以達簡潔目的)。在此實施例中,開口801的下表面801B為非平面的表面。開口801的底部包含兩個凸起1301C1與1301C2(統稱為凸起1301C),兩者之間 隔有坑洞1303C,因此凸起1301C的數目不同於被移除的虛置鰭狀物403B(見第7A與7B圖)的數目。在此實施例中,坑洞1303C的最底部表面,低於開口801離淺溝槽隔離區1001最遠的部份下表面801B。在此實施例中,凸起1301C1的高度H20與凸起1301C2的高度H21實質上相同。在其他實施例中,凸起1301C1的高度H20與凸起1301C2的高度H21不同。在一些實施例中,高度H20可介於約20nm至約120nm之間,而高度H21可介於約15nm至約150nm之間。藉由調整主要蝕刻製程與過蝕刻製程的製程參數,可得圖式中開口801之底部結構。可調的製程參數可包含製程氣體混合物、溫度、壓力、射頻功率、偏電壓、及/或過蝕刻-主要蝕刻比例。在此實施例中,過蝕刻-主要蝕刻比例(即過蝕刻時間/主要蝕刻時間)可介於約1至約1.5之間。
如第12A至12E圖與第13A至13C圖所示,開口801的底部之多種結構可改良隔離主動鰭狀物結構,且有助於降低鰭狀場效電晶體中的應力。第12A至12E圖與第13A至13C圖所示的合適結構可用以形成鰭狀場效電晶體,端視用於鰭狀場效電晶體的隔離及/或應力需求而定。
第14至18C圖係一些實施例中,自第11A與11B圖所示的鰭狀結構製作半導體裝置1400,其多種中間階段的剖視圖。同樣的製程步驟亦可應用於第12A至12E圖與第13A至13C圖所示的鰭狀結構,以形成個別的半導體裝置。如第14圖所示,虛置閘極介電物1401形成於主動鰭狀物403A與403C及淺溝槽隔離區1001上,且虛置閘極1403形成於虛置閘極介電物1401上。虛置閘極介電物1401可包含氧化矽,其形成方法可採 用氧化、化學氣相沉積、低壓化學氣相沉積、類似方法、或上述之組合。虛置閘極1043可包含多晶矽,其形成方法可採用化學氣相沉積、低壓化學氣相沉積、類似方法、或上述之組合。
如第15A、15B、與15C圖所示,圖案化虛置閘極介電物1401與虛置閘極1403,以分別形成虛置閘極堆疊1501A與1501C於主動鰭狀物403A與403C上。第15B圖為含有第15A圖中剖線B-B的垂直平面之剖視圖,而第15C圖為含有第15B圖中剖線C-C的垂直平面之剖視圖。在一些實施例中,虛置閘極1403與虛置閘極介電物1401的圖案化方法可採用合適的微影與蝕刻製程。如第15A、15B、與15C圖所示,虛置閘極堆疊1501A形成於主動鰭狀物403A的中間部份之側壁與上表面上,因此露出主動鰭狀物403A的末端部份。同樣地,虛置閘極堆疊1501C形成於主動鰭狀物403C的中間部份之側壁與上表面上,因此露出主動鰭狀物403C的末端部份。
如第15A、15B、與15C圖所示,形成閘極間隔物1503於虛置閘極堆疊1501A與1501C的側壁上。閘極間隔物1503可包含氧化物(如氧化矽、氧化鋁、氧化鈦、或類似物)、氮化物(如氮化矽、氮化鈦、或類似物)、氮氧化物(如氮氧化矽或類似物)、碳氧化物(如碳氧化矽或類似物)、氮碳化物(如氮碳化矽或類似物)、類似物、或上述之組合。在一些實施例中,閘極間隔物層可形成於虛置閘極堆疊1501A與1501C的上表面與側壁上,且其形成方法可採用化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、類似方法、或上述之組合。接著可圖案化閘極間隔物層,以自虛置閘極堆疊1501A與1501C的上表面移除閘極 間隔物層的水平部份,且圖案化方法可為非等向乾蝕刻製程。保留於虛置閘極堆疊1501A與1501C之側壁上的閘極間隔物層,即形成閘極間隔物1503。
如第16A、16B、與16C圖所示,移除主動鰭狀物403A與403C的露出末端部份。第16B圖為含有第16A圖中剖線B-B的垂直平面之剖視圖,而第16C圖為含有第16B圖中剖線C-C的垂直平面之剖視圖。由於主動鰭狀物403A與403C之未移除部份並未位於第16C圖所示的平面中,其於第16C圖中係以虛線表示。在一些實施例中,主動鰭狀物403A與403C的露出末端部份之移除方法可為合適的蝕刻製程,其採用虛置閘極堆疊1501A與1501C作為蝕刻遮罩。在蝕刻製程之後,分別直接位於虛置閘極堆疊1501A與1501C下的部份主動鰭狀物403A與403C則未被移除。主動鰭狀物403A與403C其未移除的部份將形成鰭狀場效電晶體的通道區。在一些實施例中,移除主動鰭狀物403A與403C的露出末端部份之方法,可採用任何可接受的蝕刻製程如反應性離子蝕刻、中子束蝕刻、氫氧化四甲基銨、氫氧化銨、類似方法、或上述之組合。在此實施例中,移除主動鰭狀物的露出末端部份後形成的凹陷1601A與1601C,其底部與相鄰之淺溝槽隔離區1001的上表面實質上齊平。在其他實施例中,凹陷1601A與1601C的底部可高於或低於相鄰的淺溝槽隔離區1001之上表面。
如第17A、17B、與17C圖所示,分別形成源極/汲極區1701A與1701C於凹陷1601A與1601C中(見第16A、16B、與16C圖)。第17B圖為含有第17A圖中剖線B-B的垂直平面之剖 視圖,而第17C圖為含有第17B圖中剖線C-C的垂直平面之剖視圖。源極/汲極區1701A與1701C分別形成於凹陷1601A與1601C中的方法,可為磊晶成長材料於凹陷1601A與1601C中,且磊晶成長的方法可為有機金屬化學氣相沉積、分子束磊晶、液態磊晶、氣態磊晶、選擇性磊晶成長、類似方法、或上述之組合。如第17C圖所示,源極/汲極區1701A為用於主動鰭狀物403A的共同連續源極/汲極區,且源極/汲極區1701C為用於主動鰭狀物403C的共同連續源極/汲極區。在一些實施例中,源極/汲極區1701A與1701C可具有面向上的晶面與面向下的晶面,或可具有其他形狀。
在一些實施例中,鰭狀場效電晶體為n型鰭狀場效電晶體,而源極/汲極區1701A與1701C包含碳化矽、磷化矽、摻雜磷的碳化矽、或類似物。在一些實施例中,鰭狀場效電晶體為p型鰭狀場效電晶體,源極/汲極區1701A與1701C包含矽鍺,而p型雜質為硼或銦。在一些實施例中,鰭狀場效電晶體包含n型鰭狀場效電晶體與p型鰭狀場效電晶體,源極/汲極區1701A包含碳化矽、磷化矽、摻雜磷的碳化矽、或類似物,而源極/汲極區1701C包含矽鍺,且p型雜質為硼或銦。在一些實施例中,源極/汲極區1701A與1701C可佈植合適的摻質,接著進行回火。佈植製程可包含形成與圖案化遮罩(如光阻)以覆蓋保護鰭狀場效電晶體其不需佈植的區域。在其他實施例中,可在磊晶成長源極/汲極區1701A與1701C時臨場摻雜。
如第18A、18B、與18C圖所示,進行多個製程步驟以完成鰭狀場效電晶體1801A與1801C。第18B圖為含有第18A 圖中剖線B-B的垂直平面之剖視圖,而第18C圖為含有第18B圖中剖線C-C的垂直平面之剖視圖。在一些實施例中,以置換閘極威疊1807A與1807B分別取代虛置閘極堆疊1501A與1501C(見第17A、17B、與17C圖)。在一些實施例中,置換閘極堆疊1807A與1807C的形成方法可包含沉積層間介電物1803於虛置閘極堆疊1501A與1501C上。接著平坦化(比如採用化學機械研磨製程)層間介電物1803,直到層間介電物1803的上表面與虛置閘極堆疊1501A及1501C的上表面實質上齊平。接著移除虛置閘極堆疊1501A與1501C以形成凹陷於層間介電物1803中,且移除方法可為合適的蝕刻製程。接著可形成閘極介電物1809A與1809C於個別凹陷中,再分別形成閘極1811A與1811C於閘極介電物1809A與1809C上。在一些實施例中,超出層間介電物1803中的凹陷之多餘材料的移除方法,可為化學機械研磨製程。在這些實施例中,層間介電物1803的上表面與置換閘極堆疊1807A與1807C的上表面共平面。
層間介電物1803的組成可為介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、類似物、或上述之組合,且其沉積方法可為任何合適方法如化學氣相沉積、電漿增強化學氣相沉積、可流動的化學氣相沉積、類似方法、或上述之組合。在一些實施例中,閘極介電物1809A與1809C可包含介電材料如氧化矽、氮化矽、上述之多層結構、或類似物,且其形成方法可為依據可接受的技術之沉積或熱成長。在其他實施例中,閘極介電物1809A與1809C可包含高介電常數的介電材料,比如鉿、鋁、鋯、鑭、 鎂、鋇、鈦、或鉛的金屬氧化物或矽酸鹽、類似物、上述之多層結構、或上述之組合,且其形成方法可為分子束磊晶、原子層沉積、電漿增強化學氣相沉積、類似方法、或上述之組合。在一些實施例中,閘極介電物1809A與1809C包含相同的介電材料。在其他實施例中,閘極介電物1809A與1809C包含不同的介電材料。閘極1811A與1811C可包含金屬材料如金、銀、鋁、銅、鎢、鉬、鎳、鈦、或上述之合金,且其形成方法可採用物理氣相沉積、原子層沉積、電鍍、類似方法、或上述之組合。在一些實施例中,閘極1811A與1811C包含相同的導電材料。在其他實施例中,閘極1811A與1811C包含不同的導電材料。
如第18A、18B、與18C圖所示,層間介電物1805形成於層間介電物1803以及置換閘極堆疊1807A與1807C上。層間介電物1805的材料與形成方法,可與層間介電物1803的材料與形成方法類似,在此不重複說明以達簡潔目的。在一些實施例中,層間介電物1803與1805可包含相同介電材料,因此可能無法分別層間介電物1803與1805之間的界面。在其他實施例中,層間介電物1803與1805可包含不同介電材料。
在一些實施例中,閘極接點1813A與1813C,以及源極/汲極接點1817A與1817C形成於層間介電物1803與1805中。閘極接點1813A與1813C分別物理與電性地耦接至置換閘極堆疊1807A與1807C。源極/汲極接點1817A與1817C分別物理與電性地耦接至源極/汲極區1701A與1701C。在一些實施例中,用於閘極接點1813A與1813C以及源極/汲極接點1817A與 1817C的開口,穿過層間介電物1803與1805。開口的形成方法可採用合適的光微影與蝕刻技術。襯墊物(未圖示)如擴散阻障層、黏著層、或類似物,以及導電材料可形成於開口中。襯墊物可包含鈦、氮化鈦、鉭、氮化鉭、類似物、或上述之組合。導電材料可為銅、銅合金、銀、金、鎢、鋁、鎳、類似物、或上述之組合。可進行平坦化製程如化學機械研磨,以自層間介電物1805的上表面移除多餘材料。保留的襯墊物與導電材料,即分別形成閘極接點1813A與1813C以及源極/汲極接點1817A與1817C於開口中。可進行回火製程,以分別形成矽化物1815A與1815C於源極/汲極區1701A與1701C以及源極/汲極接點1817A與1817C之間的界面。雖然未圖示,但本技術領域中具有通常知識者應理解可在第18A、18B、與18C圖中的結構上進行額外製程步驟。舉例來說,可形成多種金屬間介電物與其對應的金屬化物於層間介電物1805上。
第19A、19B、與19C圖係一些實施例中,半導體裝置1900的剖視圖。第19B圖為含有第19A圖中剖線B-B的垂直平面之剖視圖,而第19C圖為含有第19B圖中剖線C-C的垂直平面之剖視圖。半導體裝置1900與半導體裝置1400(見第18A、18B、與18C圖)類似,而類似單元將以類似標號標示。在一些實施例中,半導體裝置1900的材料與形成方法可與半導體裝置1400的材料與形成方法類似(見搭配第1A至18C圖說明的上述內容),在此不重複說明以達簡潔目的。在此實施例中,源極/汲極區1701A與1701C分別為主動鰭狀物403A與403C的源極/汲極區。綜上所述,源極/汲極區1701A與1701C並未分別形成主動 鰭狀物403A與403C的共同源極/汲極區。
第20圖係一些實施例中,形成半導體裝置的方法2000其流程圖。方法2000的步驟2001形成圖案化的遮罩堆疊(如第3A與3B圖所示的遮罩堆疊109)於基板(如第3A與3B圖所示的基板101)上,比如搭配第1A至3B圖說明的上述內容。在步驟2003中,圖案化基板以形成主動冠狀鰭狀結構(比如第6A與6B圖所示的主動鰭狀物403A與403C以及主動基底603A與603C)以及虛置冠狀鰭狀結構(比如第6A與6B圖所示的虛置鰭狀物403B以及虛置基底603B),比如搭配第4A至6B圖說明的上述內容。在步驟2005中,進行第一蝕刻製程以移除虛置冠狀鰭狀結構,比如搭配第7A至8B圖說明的上述內容。在步驟2007中,進行第二蝕刻製程以過蝕刻基板,比如搭配第8A與8B圖說明的上述內容。在步驟2009中,形成隔離區如第10圖所示的淺溝槽隔離區1001,比如搭配第9A至10B圖說明的上述內容。在步驟2011中,使隔離區凹陷以露出主動冠狀鰭狀結構的主動鰭狀物,比如搭配第11A與11B圖說明的上述內容。在步驟2013中,形成虛置閘極堆疊(如第15A、15B、與15C圖所示的虛置閘極堆疊1501A與1501C)於主動冠狀鰭狀結構其露出的主動鰭狀物上,比如搭配第14、15A、15B、與15C圖說明的上述內容。在步驟2015中,使主動冠狀鰭狀結構的主動鰭狀物凹陷,比如搭配第16A、16B、與16C圖說明的上述內容。在步驟2017中,磊晶成長源極/汲極區(如第17A、17B、與17C圖所示的源極/汲極區1701A與1701C),比如搭配第17A、17B、與17C圖說明的上述內容。在步驟2019中,形成置換閘極堆疊(如第18A、18B、 與18C圖所示的置換閘極堆疊1807A與1807C),比如搭配第18A、18B、與18C圖說明的上述內容。
本發明實施例具有一些優點。此處所述的多種實施例可用以放大鰭狀物的蝕刻製程容忍度、改良應力源極與汲極的磊晶製程的關鍵尺寸負載、改良化學氣相沉積的應力效應(彎曲)、改善晶圓接受度測試與可信度效能、以及改善電路針測良率。
依據一實施例,半導體結構的形成方法包括:形成第一主動鰭狀結構與第二主動鰭狀結構於基板上。形成虛置鰭狀結構於基板上,虛置鰭狀結構夾設於第一主動鰭狀結構與第二主動鰭狀結構之間。基板的第一部份直接位於虛置鰭狀結構下。移除虛置鰭狀結構以露出基板的第一部份。形成多個凸起結構於基板的第一部份上。形成淺溝槽隔離區於基板的第一部份上,淺溝槽隔離區覆蓋凸起結構,且至少部份的第一主動鰭狀結構與至少部份的第二主動鰭狀結構延伸超出淺溝槽隔離區的最頂部表面。
依據另一實施例,半導體結構的形成方法包括:形成第一主動基底與第二主動基底於基板上。形成虛置基底於基板上,且虛置基底夾設於第一主動基底與第二主動基底之間。形成多個第一主動鰭狀物於第一主動基底上。形成多個第二主動鰭狀物於第二主動基底上。形成多個虛置鰭狀物於虛置基底上。在虛置鰭狀物與虛置基底上進行第一蝕刻製程,以移除虛置鰭狀物與虛置基底,並形成凹陷於基板中。在凹陷的底部上進行第二蝕刻製程,以形成多個凸起結構於凹陷的底部 上。形成淺溝槽隔離區於凹陷中,淺溝槽隔離區之最頂部表面低於第一主動鰭狀物的最頂部表面與第二主動鰭狀物的最頂部表面。
依據另一實施例,半導體結構包括:多個第一鰭狀物位於基板上,且相鄰的第一鰭狀物之間隔有多個第一凹陷;以及多個第二鰭狀物位於基板上,且相鄰的第二鰭狀物之間隔有多個第二凹陷。半導體結構亦包含第三凹陷位於基板中,且第三凹陷夾設於第一鰭狀物與第二鰭狀物之間。第三凹陷的底部低於第一凹陷的底部與第二凹陷的底部。多個凸起結構位於第三凹陷的底部上。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。

Claims (20)

  1. 一種半導體結構的形成方法,包括:形成一第一主動鰭狀結構與一第二主動鰭狀結構於一基板上;形成一虛置鰭狀結構於該基板上,該虛置鰭狀結構夾設於該第一主動鰭狀結構與該第二主動鰭狀結構之間,且該基板的該第一部份直接位於該虛置鰭狀結構下;移除該虛置鰭狀結構以露出該基板的該第一部份;形成多個凸起結構於該基板的該第一部份上;以及形成一淺溝槽隔離區於該基板的該第一部份上,該淺溝槽隔離區覆蓋該些凸起結構,且至少部份的該第一主動鰭狀結構與至少部份的該第二主動鰭狀結構延伸超出該淺溝槽隔離區的最頂部表面。
  2. 如申請專利範圍第1項所述之半導體結構的形成方法,其中移除該虛置鰭狀結構的步驟包括:保護該第一主動鰭狀結構與該第二主動鰭狀結構;以及在一第一時段中蝕刻該虛置鰭狀結構。
  3. 如申請專利範圍第2項所述之半導體結構的形成方法,其中形成該些凸起結構的步驟包括在一第二時段中蝕刻該基板的該第一部份。
  4. 如申請專利範圍第3項所述之半導體結構的形成方法,其中該第二時段與該第一時段之間的比例介於0.1至約1.5之間。
  5. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該些凸起結構的一第一凸起結構的高度,與該些凸起結構 的一第二凸起結構的高度實質上相同。
  6. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該些凸起結構的一第一凸起結構之高度,與該些凸起結構的一第二凸起結構的高度不同。
  7. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該些凸起結構的數目與該些虛置鰭狀結構中的虛置鰭狀物的數目相同。
  8. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該些凸起結構的數目與該些虛置鰭狀結構中的虛置鰭狀物的數目不同。
  9. 一種半導體結構的形成方法,包括:形成一第一主動基底與一第二主動基底於一基板上;形成一虛置基底於該基板上,且該虛置基底夾設於該第一主動基底與該第二主動基底之間;形成多個第一主動鰭狀物於該第一主動基底上;形成多個第二主動鰭狀物於該第二主動基底上;形成多個虛置鰭狀物於該虛置基底上;在該些虛置鰭狀物與該虛置基底上進行一第一蝕刻製程,以移除該些虛置鰭狀物與該虛置基底,並形成一凹陷於該基板中;在該凹陷的底部上進行一第二蝕刻製程,以形成多個凸起結構於該凹陷的底部上;以及形成一淺溝槽隔離區於該凹陷中,該淺溝槽隔離區之最頂部表面低於該些第一主動鰭狀物的最頂部表面與該些第二 主動鰭狀物的最頂部表面。
  10. 如申請專利範圍第9項所述之半導體結構的形成方法,更包括:在進行該第一蝕刻製程之前,形成一遮罩層於該些第一主動鰭狀物、該些第二主動鰭狀物、與該些虛置鰭狀物上;以及圖案化該遮罩層,以露出該些虛置鰭狀物。
  11. 如申請專利範圍第9項所述之半導體結構的形成方法,其中該第一蝕刻製程歷時第一時段,其中該第二蝕刻製程歷時第二時段,且該第二時段與該第一時段的比例介於0.1至約1.5之間。
  12. 如申請專利範圍第9項所述之半導體結構的形成方法,其中該些凸起結構的一第一凸起結構之高度,與該些凸起結構的一第二凸起結構之高度實質上相同。
  13. 如申請專利範圍第9項所述之半導體結構的形成方法,其中該些凸起結構的一第一凸起結構之高度,與該些凸起結構的一第二凸起結構之高度不同。
  14. 如申請專利範圍第9項所述之半導體結構的形成方法,其中該第一蝕刻製程與該第二蝕刻製程採用相同的蝕刻品。
  15. 一種半導體結構,包括:多個第一鰭狀物,位於一基板上,且相鄰的該些第一鰭狀物之間隔有多個第一凹陷;多個第二鰭狀物,位於該基板上,且相鄰的該些第二鰭狀物之間隔有多個第二凹陷; 一第三凹陷,位於該基板中,且該第三凹陷夾設於該些第一鰭狀物與該些第二鰭狀物之間,其中該第三凹陷的底部低於該些第一凹陷的底部與該些第二凹陷的底部;以及多個凸起結構,位於該第三凹陷的底部上。
  16. 如申請專利範圍第15項所述之半導體結構,其中該些凸起結構的數目與該些第一鰭狀物的數目相同。
  17. 如申請專利範圍第15項所述之半導體結構,其中該些凸起結構的數目與該些第一鰭狀物的數目不同。
  18. 如申請專利範圍第15項所述之半導體結構,其中該些凸起結構的一第一凸起結構之高度,與該些凸起結構的一第二凸起結構之高度實質上相同。
  19. 如申請專利範圍第15項所述之半導體結構,其中該些凸起結構的一第一凸起結構之高度,與該些凸起結構的一第二凸起結構之高度不同。
  20. 如申請專利範圍第15項所述之半導體結構,更包括一淺溝槽隔離區於該第三凹陷中,其中該淺溝槽隔離區的上表面與該些第一凹陷的底部以及該些第二凹陷的底部齊平。
TW106125717A 2016-08-02 2017-07-31 半導體結構與其形成方法 TWI682442B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662370099P 2016-08-02 2016-08-02
US62/370,099 2016-08-02
US201662405735P 2016-10-07 2016-10-07
US62/405,735 2016-10-07
US15/640,920 2017-07-03
US15/640,920 US10355110B2 (en) 2016-08-02 2017-07-03 FinFET and method of forming same

Publications (2)

Publication Number Publication Date
TW201816857A true TW201816857A (zh) 2018-05-01
TWI682442B TWI682442B (zh) 2020-01-11

Family

ID=61069478

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106125717A TWI682442B (zh) 2016-08-02 2017-07-31 半導體結構與其形成方法

Country Status (3)

Country Link
US (3) US10355110B2 (zh)
CN (1) CN107680939B (zh)
TW (1) TWI682442B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI793527B (zh) * 2020-02-19 2023-02-21 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9722050B2 (en) * 2015-09-04 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10297555B2 (en) * 2016-07-29 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure having crown-shaped semiconductor strips and recesses in the substrate from etched dummy fins
US10355110B2 (en) * 2016-08-02 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
CN109559984B (zh) * 2017-08-21 2020-11-13 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10276445B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
US10211107B1 (en) * 2017-09-10 2019-02-19 United Microelectronics Corp. Method of fabricating fins including removing dummy fins after fluorocarbon flush step and oxygen clean step
CN109920730B (zh) * 2017-12-13 2021-04-20 联华电子股份有限公司 一种图案化方法
US10361125B2 (en) 2017-12-19 2019-07-23 International Business Machines Corporation Methods and structures for forming uniform fins when using hardmask patterns
US10566444B2 (en) * 2017-12-21 2020-02-18 International Business Machines Corporation Vertical fin field effect transistor with a reduced gate-to-bottom source/drain parasitic capacitance
TWI750316B (zh) * 2018-02-09 2021-12-21 聯華電子股份有限公司 1-1強制性鰭狀堆疊反向器及形成強制性鰭狀堆疊反向器的方法
US10872825B2 (en) * 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10930767B2 (en) 2018-07-16 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-like field effect transistor patterning methods for achieving fin width uniformity
US11114303B2 (en) * 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
US10818556B2 (en) * 2018-12-17 2020-10-27 United Microelectronics Corp. Method for forming a semiconductor structure
CN111430241B (zh) * 2019-01-09 2023-06-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11004751B2 (en) 2019-02-25 2021-05-11 International Business Machines Corporation Vertical transistor having reduced edge fin variation
CN111696865B (zh) * 2019-03-13 2023-08-18 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN111834222A (zh) * 2019-04-15 2020-10-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11011626B2 (en) * 2019-05-07 2021-05-18 International Business Machines Corporation Fin field-effect transistor with reduced parasitic capacitance and reduced variability
KR20210031248A (ko) * 2019-09-11 2021-03-19 삼성전자주식회사 반도체 소자
KR20210089878A (ko) 2020-01-09 2021-07-19 삼성전자주식회사 미세 패턴의 절단 방법, 이를 이용한 액티브 패턴들의 형성 방법, 및 이를 이용한 반도체 장치의 제조 방법
KR20220043945A (ko) * 2020-09-28 2022-04-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11699741B2 (en) * 2021-06-01 2023-07-11 Tokyo Electron Limited Metal-containing liner process
US12021079B2 (en) * 2021-08-27 2024-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor and method of forming the same

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1326250C (zh) * 2003-06-17 2007-07-11 台湾积体电路制造股份有限公司 绝缘硅芯片的鳍状元件及其形成方法
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US9953885B2 (en) * 2009-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. STI shape near fin bottom of Si fin in bulk FinFET
KR101908980B1 (ko) * 2012-04-23 2018-10-17 삼성전자주식회사 전계 효과 트랜지스터
US9647066B2 (en) * 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8735252B2 (en) * 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9583398B2 (en) * 2012-06-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having FinFETS with different fin profiles
US8617996B1 (en) * 2013-01-10 2013-12-31 Globalfoundries Inc. Fin removal method
KR102013842B1 (ko) * 2013-02-08 2019-08-26 삼성전자주식회사 반도체 소자의 제조 방법
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US9177955B2 (en) * 2013-03-08 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation region gap fill method
US9515184B2 (en) * 2013-09-12 2016-12-06 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with multiple-height fins and substrate trenches
US9437497B2 (en) * 2013-10-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8975129B1 (en) * 2013-11-13 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9209178B2 (en) * 2013-11-25 2015-12-08 International Business Machines Corporation finFET isolation by selective cyclic etch
US9318488B2 (en) * 2014-01-06 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US20150206759A1 (en) * 2014-01-21 2015-07-23 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9190496B2 (en) * 2014-01-23 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
CN105097686B (zh) * 2014-04-25 2018-04-13 中芯国际集成电路制造(上海)有限公司 鳍片式场效应晶体管及其制造方法
US9281382B2 (en) * 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
TWI615976B (zh) * 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
CN105374871B (zh) 2014-08-22 2020-05-19 联华电子股份有限公司 鳍状结构及其形成方法
US9953857B2 (en) * 2014-11-20 2018-04-24 International Business Machines Corporation Semiconductor device with buried local interconnects
US9595475B2 (en) * 2014-12-01 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stage fin formation methods and structures thereof
US9455198B1 (en) * 2014-12-08 2016-09-27 Globalfoundries Inc. Methods of removing fins so as to form isolation structures on products that include FinFET semiconductor devices
KR102262827B1 (ko) * 2014-12-30 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102170701B1 (ko) * 2015-04-15 2020-10-27 삼성전자주식회사 반도체 장치 제조 방법
TWI648857B (zh) * 2015-05-07 2019-01-21 聯華電子股份有限公司 半導體元件及其製作方法
US9722050B2 (en) * 2015-09-04 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9607985B1 (en) * 2015-09-25 2017-03-28 United Microelectronics Corp. Semiconductor device and method of fabricating the same
KR102476356B1 (ko) * 2015-10-07 2022-12-09 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9991256B2 (en) * 2015-12-14 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10290634B2 (en) * 2016-01-20 2019-05-14 Globalfoundries Inc. Multiple threshold voltages using fin pitch and profile
US10256328B2 (en) * 2016-05-18 2019-04-09 International Business Machines Corporation Dummy dielectric fins for finFETs with silicon and silicon germanium channels
US9853131B1 (en) * 2016-07-12 2017-12-26 International Business Machines Corporation Fabrication of an isolated dummy fin between active vertical fins with tight fin pitch
US10355110B2 (en) * 2016-08-02 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
KR102524806B1 (ko) * 2016-08-11 2023-04-25 삼성전자주식회사 콘택 구조체를 포함하는 반도체 소자
TWI703732B (zh) * 2016-09-12 2020-09-01 聯華電子股份有限公司 一種製作半導體元件的方法
US10109531B1 (en) * 2017-06-08 2018-10-23 United Microelectronics Corp. Semiconductor structure having a bump lower than a substrate base and a width of the bump larger than a width of fin shaped structures, and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI793527B (zh) * 2020-02-19 2023-02-21 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11791336B2 (en) 2020-02-19 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Bent fin devices

Also Published As

Publication number Publication date
CN107680939B (zh) 2021-04-13
US20180040713A1 (en) 2018-02-08
CN107680939A (zh) 2018-02-09
US10355110B2 (en) 2019-07-16
US11251289B2 (en) 2022-02-15
US20220165865A1 (en) 2022-05-26
TWI682442B (zh) 2020-01-11
US20180337251A1 (en) 2018-11-22

Similar Documents

Publication Publication Date Title
TWI682442B (zh) 半導體結構與其形成方法
TWI722304B (zh) 半導體裝置及其形成方法
TWI619178B (zh) 半導體裝置及其製造方法
CN107680940B (zh) Finfet及其形成方法
TWI719615B (zh) 半導體裝置的形成方法
US20220173115A1 (en) Ferroelectric Random Access Memory Devices and Methods
TW201717398A (zh) 半導體裝置及其製造方法
TW202013518A (zh) 半導體裝置的製作方法
TW201916256A (zh) 半導體裝置的形成方法
TW202109673A (zh) 半導體裝置的形成方法
TW202139357A (zh) 半導體元件及其形成方法
TW202117858A (zh) 半導體裝置的形成方法
TWI785589B (zh) 半導體裝置及其形成方法
KR20210053197A (ko) 반도체 디바이스 및 방법
TWI743502B (zh) 半導體裝置與其形成方法
TWI822111B (zh) 半導體裝置與其形成方法
TW202209414A (zh) 半導體裝置
TW202131519A (zh) 半導體裝置
TW202137292A (zh) 半導體裝置的形成方法
KR102473596B1 (ko) 반도체 FinFET 디바이스 및 방법
TWI770956B (zh) 半導體裝置與其製作方法
TWI735954B (zh) 半導體元件及其形成方法
TW202209425A (zh) 半導體裝置及其製造方法
TW202238694A (zh) 半導體裝置的形成方法
CN114334821A (zh) 半导体结构的形成方法