TW201807770A - 具有射頻耦合的高功率靜電吸盤設計 - Google Patents

具有射頻耦合的高功率靜電吸盤設計 Download PDF

Info

Publication number
TW201807770A
TW201807770A TW106103433A TW106103433A TW201807770A TW 201807770 A TW201807770 A TW 201807770A TW 106103433 A TW106103433 A TW 106103433A TW 106103433 A TW106103433 A TW 106103433A TW 201807770 A TW201807770 A TW 201807770A
Authority
TW
Taiwan
Prior art keywords
electrode
top plate
power supply
coupled
base plate
Prior art date
Application number
TW106103433A
Other languages
English (en)
Other versions
TWI776800B (zh
Inventor
趙在龍
維傑D 帕克
王海濤
卡堤克 拉馬斯瓦米
張純磊
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201807770A publication Critical patent/TW201807770A/zh
Application granted granted Critical
Publication of TWI776800B publication Critical patent/TWI776800B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support

Abstract

說明一種靜電吸盤,具有射頻耦合,適合使用在高功率電漿環境中。在一些範例中,吸盤包含基底板、頂板、在頂板中鄰接頂板頂表面以靜電握持工件的第一電極、以及在頂板中與第一電極間隔開的第二電極,第一與第二電極耦合至電源供應器以靜電充電第一電極。

Description

具有射頻耦合的高功率靜電吸盤設計
對於相關申請案的交互參照:本申請案主張對於Jaeyong Cho等人前於2016年6月21日提出、名為「HIGH POWER ELECTROSTATIC CHUCK DESIGN WITH RADIO FREQUENCY COUPLING」的美國臨時專利申請案第62/352,667號的優先權,並主張對於Jaeyong Cho等人前於2016年6月7日提出、名為「HIGH POWER ELECTROSTATIC CHUCK DESIGN WITH RADIO FREQUENCY COUPLING」的美國臨時專利申請案第62/346,746號的優先權。
本說明相關於用於承載工件以進行半導體與微機電處理的靜電吸盤,且特定而言相關於吸盤中的電極。
在半導體晶片的生產過程中,矽晶圓或其他基板在不同的處理腔室中被暴露至各種不同的製程。腔室可使晶圓暴露至數種不同的化學與物理性製程,以在基板上產生小型積體電路。構成積體電路的材料層,係由包含化學氣相沈積、物理氣相沈積、壘晶長成等等的製程來產生。使用光阻劑遮罩與濕式(或乾式)蝕刻技術以圖案化一些材料層。基板可為矽、砷化鎵,磷化銦,玻璃或其他合適的材料。
在這些生產製程中,可使用電漿以沈積或蝕刻各種材料層。電漿處理提供了優於熱處理的許多優點。例如,相較於對比的熱製程,電漿增強化學氣相沈積(PECVD)允許在較低溫度與較高沈積率下執行沈積製程。因此,PECVD允許在較低溫度下沈積材料。
這些製程中使用的處理腔室,通常包含放置在處理腔室中的基板支座、底座或吸盤,以在處理期間支撐基板。在一些製程中,底座可包含嵌入式加熱器,嵌入式加熱器經調適以控制基板溫度,及(或)提供可在製程中使用的升高溫度。
HAR(高深寬比)電漿蝕刻使用高得多的偏壓功率,以達到無彎曲的輪廓。為了支援對介電質蝕刻進行HAR,功率可被提升至20KW,此對ESC(靜電吸盤)帶來了顯著的衝擊。許多當前的ESC設計無法容許由高偏壓功率直接產生的如此高的電壓。設計於ESC中的孔特別容易受影響。再者,在過量的自由基侵蝕接合處時,ESC可經歷到升舉銷區域中的接合失效。另一種衝擊,為ESC表面溫度以較高的速率改變。ESC表面的加熱直接與所施加了RF電漿功率成比例。熱亦可為接合失效的結果。此外,ESC上承載的晶圓的翹曲,以及晶圓上建置的電荷,也使晶圓的解吸附更加困難。
常見的使用ESC固持晶圓的製程,由2MHz 6.5KW電漿功率施加至晶圓以進行蝕刻應用。高深寬比(例如100:1)的應用使用高得多的電漿功率。本文說明在低頻高功率電漿電壓內作業以產生高晶圓偏壓的ESC。較高的功率將增加ESC的失效機率,因為介電質崩潰以及設計於ESC中的氣孔中的電漿點燃。所說明的ESC能承受高功率與高偏壓電壓。
說明具有改良電極的靜電吸盤。在一些範例中,吸盤包含基底板、頂板、在頂板中鄰接頂板頂表面以靜電握持工件的第一電極、以及在頂板中與第一電極間隔開的第二電極,第一與第二電極耦合至電源供應器以靜電充電第一電極。
在一些具體實施例中,在靜電吸盤(electrostatic chuck; ESC)的陶瓷頂板內形成(或加入)兩層網格。相較於上網格,下網格的設計被修改,以在處理期間在吸盤固持工件(諸如矽晶圓)時容許較高的電漿功率與頻率。可由各種不同的方式形成吸盤。
電漿中的較高電壓,可造成吸盤頂板或圓盤內的靜電放電。在圓盤中使用上下兩層電極網格,可在圓盤中形成無放電的法拉第籠(Faraday cage)。
第1圖為靜電吸盤的截面側視圖,其中上圓盤中具有雙網格電極。在所圖示的範例中,吸盤為具有鋁冷卻板材或基底板的ESC。由介電黏著層204將圓盤206接合至基底板。黏著層衰減圓盤與基底板之間的電性與熱性傳導。圓盤由陶瓷或另一介電質製成。圓盤使用靜電力固持工件,諸如晶圓208。本文將把工件稱為晶圓,雖然吸盤可承載其他工件以用於各種不同的製品與製程。將示意圖簡化,以避免遮蔽本發明的特徵。
基底板可包含許多其他部件、特徵、以及對於熱性流體、氣流的外部連結、加熱器電力、感測器、以及其他部件。類似的,圓盤可包含加熱器、感測器、液體通道與氣流通道、以及通過基底板連接至外部部件的其他特徵。所圖示的基底板下可存在額外板材,以提供實體支撐並承載這些其他部件的一些。儘管可存在許多其他額外的特徵,可存在穿過吸盤基底板與頂板的單一中央管230,以從晶圓背側承載冷卻與熱性傳導氣體(諸如氦)穿過吸盤。可存在額外的氣孔與其他孔。穿過基底板與晶圓的額外孔232可提供升舉銷,以例如將晶圓推離吸盤以解除吸附。
使用上電極212(諸如圓盤頂表面附近的線網格或板材)產生固持晶圓208的靜電力,由透過穿過基底板與圓盤的電連接器或桿220施加來自外部電源供應器222的電壓至線網格,來充電上電極212。外部電源供應器可為AC(交流)或DC(直流)電源。線網格212在此截面側視圖中呈現為晶圓附近的線。在俯視圖中,網格為通常為正交交叉線的網,此網覆蓋圓盤頂表面附近區域的大部分。線可為銅、鋁或鉬。或者,線網格可為嵌入圓盤的實心(或大部分為實心)的傳導板材。板材可具有數個部件,以施加不同的靜電極性或電荷量。頂網格212與底網格210可由網印、沈積或旋轉來製成。或者,傳導板材可被個別鑄造或加工,且隨後在形成頂板時置入頂板中。
上線網格亦可被透過電連接器220耦合至外部RF(射頻)電力產生器224,以在晶圓上引發偏壓電壓並在晶圓上引發離子轟擊。RF電源供應器224可相同或不同於DC電壓源222。至上網格212的連接器220可為連接至相同上網格的相同連接器(或兩個或兩個以上不同的連接器)。
如上文提及的,圓盤具有雙網格或雙電極。下網格210被加入主要上網格212下方。使用一系列的栓釘214將下線網格電性連接至電壓供應器,栓釘214連接於上網格與下網格之間並承載相同的電壓電位與RF功率。從RF功率耦合的觀點看來,雙網格使得介電質的等效厚度變得較薄,同時維持較厚介電材料的熱性U%益處。在此背景內容中的U%代表均勻度的量。雙網格亦減少或消除上下網格之間的電場梯度。
藉由使用栓釘214連接兩個網格210、212,雙網格能夠防止圓盤中的氦點燃。氦點燃肇因於耦合至嵌入陶瓷板的單一網格電極的RF功率所產生的電場。此為用於以靜電力夾持晶圓的上電極。下網格在上網格與下網格之間在圓盤內產生一種法拉第籠。上下網格之間區域中的所有通道、孔與間隙(未圖示),將被屏蔽自任何累積電荷。上網格儘可能地靠近圓盤頂部,以提供較佳的靜電抓持。下網格儘可能地靠近圓盤底部以提供較大的法拉第籠。
第2圖為雙網格屏蔽的替代性具體實施例的截面側視圖。如第1圖範例,由絕緣黏著層304將圓盤306或頂板附接至基底板302。基底板通常為鋁,同時頂板通常為陶瓷(諸如氧化鋁),然而可使用其他材料。除了其他特徵以外,存在中央氣孔330與升舉銷孔332。如第1圖範例,圓盤具有上網格312與下網格310,由栓釘314或任何其他適合的電連接器將上網格312與下網格310電性連接在一起。在燒製陶瓷時將網格與栓釘嵌入陶瓷中,然而,網格與栓釘可替代性地被由其他方式附接或形成。上網格提供靜電電荷,以抓持要處理的晶圓308。如第1圖,下線網格被電性連接至電壓供應器,並承載相同的電壓電位。
在第2圖範例中,兩個網格被透過電連接器耦合至電壓源322,電連接器的形式為傳遞穿過基底板302中的通道的桿320。使用電連接器326將RF電源供應器324耦合至基底板302。RF功率產生器在晶圓上引發偏壓電壓,並在晶圓上引發離子轟擊。
雙網格功能與先前範例相同,為藉由屏蔽圓盤中兩個網格層之間的部分以避免任何電荷累積,以防止圓盤中此部分中的任何氣體或其他材料的點燃。此外,雙網格310、312加強從冷卻板穿過圓盤306至晶圓308的RF功率324的傳導。傳導網格310、312與基底板302部分作為電容器板,此等電容器板由介電黏著層304與圓盤306陶瓷材料分隔。藉由下網格310以及將下網格靠近基底板放置,而減少了此電容值。藉由將類似於施加至圓盤靜電電極310、312的DC電壓的DC電壓施加至基底板,亦減少了電容值。此種DC電位可被施加至本文所述範例之任意者中的基底板。
雙網格結構顯著地減少了電容值以及透過圓盤在冷卻板與晶圓之間的阻抗。等效而言,雙網格減少了圓盤的介電厚度,為了耦合通過圓盤的RF功率。同時維持了熱性U%益處。在電漿蝕刻腔室中的真實晶圓上,此可使蝕刻速率增加10%或更高。
第3圖為雙網格屏蔽的替代性具體實施例的截面側視圖,其中施加負電壓至冷卻板,以減少工件與冷卻板之間的電位差異。如第1圖範例,由黏著層404將陶瓷圓盤406附接至鋁基底板402。圓盤具有嵌入圓盤的上網格412與下網格410,上網格412與下網格410由栓釘414連接在一起。如第1圖,下線網格被電性連接至電壓供應器,並承載相同的電壓電位。除了其他特徵以外,組件具有中央氣孔430與升舉銷孔432。
在第3圖範例中,兩個網格被透過穿過基底板402的桿420耦合至電壓源422。RF電源供應器(未圖示)亦可被耦合至圓盤,以在晶圓上引發偏壓電壓。亦使用電連接器426將第二RF電源供應器424耦合至基底板402。此外,將DC電壓440耦合至基底板。DC電源供應器440與RF電源供應器424可為相同或分離(如圖示)。
基底板上的DC電位減少基底板與晶圓之間的電位差。施加至DC電極的電力不會產生DC放電,因為電極被嵌入陶瓷中。此防止次級電子從電極發射而維持DC放電。另一方面,晶圓與冷卻板之間存在電位差異。由施加至第1圖中電極或第2圖中基底板的RF電力產生晶圓上的電位。
作為範例,在靜電電極上產生-4kV的吸附電壓,則電位差異將為4kV或更多。若基底板的電壓被允許為浮動,則差異可更大。另一方面,藉由施加約-2kV的電壓至基底板,電位差異可被減少一半(為約2kV)。減少的電容值對電漿製程參數提供更多控制,並進一步減少了基底板與圓盤中氣孔內的電弧效應。可施加較高電壓至基底板,上至並包含晶圓電壓(在此情況中為-4kV),但電壓可為另一電壓。此減少了穿過圓盤的電場。
第4圖為具有雙網格的第1圖吸盤的變異的截面側視圖。在此範例中,吸盤亦為具有冷卻板202的ESC。由介電黏著層204將圓盤206接合至基底板。圓盤使用靜電力固持工件208。穿過吸盤基底板與頂板的單一中央管230,從晶圓背側承載冷卻與熱性傳導氣體(諸如氦、氮、或一些其他的氣體)穿過吸盤。穿過基底板與晶圓的額外孔232承載氣體,或包含升舉銷以將晶圓推離吸盤以解除吸附。
使用上電極212產生固持晶圓208的靜電力,由透過穿過基底板與圓盤的電連接器或桿220施加來自外部電源供應器222的電壓至下電極210,來充電上電極212。底電極212亦可被耦合至外部RF(射頻)功率產生器224。如圖示,連接器桿260被直接連接下電極210,而非如第1圖連接至上電極212。
使用一系列的栓釘214將下電極210電性連接至上電極212,栓釘214連接於上電極與下電極之間,以使上電極與下電極承載相同的電壓電位與RF功率。如第1圖範例,使用栓釘214連接的電極210、212,能夠由大法拉第籠的方式防止圓盤中的氦點燃與其他靜電放電效應。
第5圖為具有雙網格的第1圖吸盤的變異的截面側視圖。ESC具有冷卻板202,以及由介電黏著層20附接的圓盤206。圓盤固持工件208,且穿過吸盤基底板202與頂板206的各種管或孔230、232提供穿過圓盤至晶圓背側的存取。
在此範例中,具有至圓盤中上電極212的第一連接器或桿220,以及至圓盤中下電極的第二連接器或桿262。這些桿皆施加來自相同外部電源供應器222與可選的外部RF(射頻)電源供應器224的電壓。兩個桿直接將兩個電極連接至相同電源供應器,然而,可可選地使用不同的電源供應器。
此外,使用連接在上下電極之間的一系列的栓釘214,將下電極210可選地電性連接至上電極212。如第1圖範例,連接的電極210、212形成大法拉第籠。雖然第4圖與第5圖的範例使用第1圖的對電極的電源連結,但可替代使用第2圖的連結。
第6圖為將上電極212連接至電源供應器的電連接器220的範例的側截面圖。桿延伸穿過下電極中的開口(未圖示)。桿具有上部分240與較長的下部分242,上部分240與電極接觸並由高電阻係數材料製成,下部分242由低電阻係數材料製成(諸如鋁或銅)。上部分可由多種材料之任意者製成,諸如混合(或摻雜)了氧化鋁粉末的鋁。氧化鋁粉末粒子的濃度決定桿的電阻係數。高電阻係數材料可具有一千歐姆或更高的電阻值,而低電阻係數部分可具有少於一千歐姆的電阻值。
桿的高電阻係數部分用於限制電流流動,特定而言為通過桿的大電流突波。在使用中,上下電極可具有由腔室內電漿功率所引發的高度電荷。藉由限制電流流動,限制流動通過桿至電源供應器的電流。這保護了電源供應器。此外,電極上引發的電荷更能待在電極上,而非流動通過桿且在桿連接電極處產生熱點。在足夠的電流下桿可過熱,加熱圓盤並可能摧毀圓盤。使用氧化鋁陶瓷圓盤時,過多的熱將使得圓盤陶瓷裂開。
第7圖為第1圖圓盤206的部分透明俯視圖。圓盤具有下電極210與上電極212,下電極210的尺寸稍微大於所要固持的晶圓,上電極212的尺寸幾乎與所要固持的晶圓相同。電極位於圓盤的介電材料內,介電材料被圖示為透明的以讓電極為可見。上電極212與下電極210的形式可為小型線網格、塗層或實心板,本文對於上電極212與下電極210的指代將參照這些名詞。
電極電性連接了一系列的連接器栓釘214。這些栓釘被圖示為環繞上電極的周邊範圍,且恰於下電極的內側連接。栓釘可被嵌入並形成於圓盤中,或可由實心或空心的導電材料形成且隨後隨著圓盤材料固化而固定放置。栓釘可由相等或不相等的間距環繞上電極的周邊範圍。栓釘與彼此足夠接近而形成法拉第籠,以抵抗在電漿製程中處理腔室中所預期的預期RF能量。除了電極以外,存在中央氣孔230與升舉銷孔232。可存在額外的孔與其他結構,以執行其他功能。如第1圖,未圖示加熱器、冷卻通道、電漿製程結構以及其他部件,以避免遮蔽圖式。
如圖示,孔230、232位於上下電極的周邊內。這使得這些孔大抵位於法拉第籠內(如前述)並受保護而不受外部電壓、電荷與其他高能量電漿所造成的情況的影響。
本說明書揭示了諸多細節,然而在本發明領域中具有通常知識者將顯然明瞭到,本發明的實施可無需這些特定的細節。在一些實例中,由方塊圖形式圖示習知方法與裝置而非其細節,以避免遮蔽本發明。本說明書全文中對於「具體實施例」或「一個具體實施例」的參照,表示與此具體實施例連同說明的特定特徵、結構、功能或特性,係包含在發明的至少一個具體實施例中。因此,在說明書全文段落中的詞語「在一具體實施例中」或「在一個具體實施例」,並非必需指代發明的相同具體實施例。再者,這些特定特徵、結構、功能或特性,可被由任何適合的方式結合於一或更多個具體實施例中。例如,第一具體實施例可被與第二具體實施例結合,而相關聯於此二具體實施例的特定特徵、結構、功能或特性並不會互斥。
第8圖為用於提供吸附電流至電極的具有加強桿的靜電吸盤的側截面圖。所說明的導電桿可調適以用於任何其他的吸盤範例。如其他示意圖所示,基底板502支撐頂板506,頂板506使用上電極512上的電荷靜電抓持工件,諸如矽晶圓。桿520將電流傳導通過基底板至電極512,以建立並散逸電荷。下電極510透過垂直栓釘514陣列耦合至上電極。如上文所提及,由於栓釘,桿可連接至上電極、下電極、或同時連接上下電極兩者。電極與栓釘嵌入頂板內,頂板通常(但非必需)為陶瓷。
基底板為熱傳導性,並可據有冷卻通道、加熱器以及其他熱性控制元件。常見的熱傳導性材料也具有電傳導性,而鋁為用於基底板的常見材料。相對的,頂板為介電性以允許頂板維持靜電電荷,且因此通常也具有非常低的熱導率。這藉由將頂板製為非常薄來補償。
由於這些電性特性,在吸盤被放置在RF電漿中時,RF電流傾向流動跨過導電基底板的表面,並通過頂板而至上電極與下電極。此圖示為從基底板表面開始行進通過頂板至下電極的箭頭。由於電極被電性連接,RF電流自由流動通過兩個電極。RF電流傾向集中在網格連接桿的點522處。累積的量取決於電漿的阻抗以及桿的阻抗。桿為導電性,並傳導電流至所連接的電源供應器,因此RF電流傾向從桿往下流向電源供應器,如箭頭所圖示。在桿亦供應RF電流至電極時,RF電流的濃度提升。高RF電流濃度可使得頂板內產生電弧,或使得頂板與工件之間產生電弧。
如圖示的加強桿520包含了電感器524,電感器524圍繞或耦合至行進通過冷卻板502的部分。如本文的其他範例,電感器與桿以電絕緣體526與導電性基底板電性絕緣,電絕緣體526位於桿延伸通過的孔之內。
電感器524可為各種不同形式之任意者,諸如線圈、圓柱體、或磁珠。可基於RF電漿的預期頻率,來選擇電感值。電感器扼流或阻擋所施加的RF電漿所產生的RF電流。此效應由終止於電感器524的箭頭所圖示。扼流效應防止RF電流集中在桿與電極的連接點處。若RF電流被如第4圖與第5圖從電源供應器施加至電極,則電感值亦被選擇為允許所供應的功率到達電極,同時亦阻擋電漿產生的RF電流。
若RF功率亦被施加至吸附電極512,則非常難以選擇阻擋電漿產生的RF電流流入桿,同時又允許施加至電極的RF功率流動通過電極至晶圓的電感值。此係因為電漿與所施加的RF功率傾向為類似。桿上的電感器亦將產生阻抗值,此阻抗值直接相關於試圖通過電感器的頻率。對於線圈電感器而言,阻抗值由2π×頻率×電感值來界定。
第9圖為根據本文所述具體實施例的電漿系統100的部分截面圖,電漿系統100具有底座或吸盤128。底座128具有主動冷卻系統,在放置於底座上的基板經受多種製程與腔室條件的同時,主動冷卻系統允許主動控制基板的溫度於廣溫度範圍中。電漿系統100包含處理腔室主體102,處理腔室主體102具有界定處理區域120的側壁112與底壁116。
透過形成於系統100中的底壁116中的通道122,將底座、承載器、吸盤或ESC 128放置在處理區域120中。底座128經調適以在底座128的上表面上支撐基板(未圖示)。基板可為對於腔室100所施加之處理製程的各種不同工件之任意者,且由各種不同材料之任意者製成。底座128可可選地包含加熱元件(未圖示),例如電阻性元件,以加熱並控制基板溫度於所需的製程溫度。或者,可由遠端加熱元件(諸如燈具組件)加熱底座128。
吸盤亦包含連接的上電極與下電極(未圖示),上電極與下電極嵌入吸盤內以將晶圓(未圖示)固持至吸盤頂表面。吸盤包含上板與基底板,此更詳細圖示於第1圖。
以軸126將底座128耦合至電源插座或電源盒103,電源插座或電源盒103可包含控制底座128在處理區域120內的升降與移動的驅動系統。軸126亦包含電源介面以提供電源至底座128。電源盒103亦包含用於電源與溫度指示器的介面,諸如熱耦介面。軸126亦包含基座組件129,基座組件129經調適以可分離地耦合至電源盒103。電源盒103上圖示有圓周環135。在一個具體實施例中,圓周環135為經調適為機械性止動或區域的肩部,此肩部經配置以提供基座組件129與電源盒103上表面之間的機械介面。
穿過形成於底壁116中的通道124放置桿130,桿130用於啟動放置穿過底座128的基板升舉銷161。基板升舉銷161將工件舉離底座頂表面,以允許移除工件並將工件移入與移出腔室,此通常使用穿過基板移送通口160的機器人(未圖示)。
將腔室蓋104耦合至腔室主體102的頂部。蓋104容納耦合至蓋104的一或更多個氣體分配系統108。氣體分配系統108包含氣體入口通道140,氣體入口通道140透過噴淋頭組件142將反應劑與清洗氣體傳遞入處理腔室120B中。噴淋頭組件142包含環形基底板148,環形基底板148具有阻隔板144,阻隔板144介於環形基底板148與面板146中間。
將射頻(RF)源165耦合至噴淋頭組件142。RF源165對噴淋頭組件142供電,以協助在噴淋頭組件142的面板146與加熱底座128之間產生電漿。在一個具體實施例中,RF源165可為高頻射頻(HFRF)功率源,諸如13.56 MHz RF產生器。在另一具體實施例中,RF源165可包含HFRF功率源與低頻射頻(LFRF)功率源,諸如300 kHz RF產生器。或者,RF源可耦合至處理腔室主體102的其他部分(諸如底座128)以協助產生電漿。在蓋104與噴淋頭組件142之間放置介電隔離器158,以防止傳導RF功率至蓋104。可在底座128的周邊上放置遮蔽環106,遮蔽環106在所需的底座128高度處接合基板。
可選的,在氣體分配系統108的環形基底板148中形成冷卻通道147,以在操作期間內冷卻環形基底板148。冷卻通道147可循環通過熱傳輸流體,諸如水、乙二醇、氣體或類似者,以將基底板148維持在預先界定的溫度。
腔室襯墊組件127被放置在處理區域120內且非常靠近腔室主體102的側壁101、112,以防止側壁101、112暴露至處理區域120內的處理環境。襯墊組件127包含耦合至幫浦系統164的圓周幫浦空腔125,圓周幫浦空腔125經配置以將氣體與副產品從處理區域120排出,並控制處理區域120內的壓力。可在腔室襯墊組件127上形成複數個排氣通口131。排氣通口131經配置以允許氣體從處理區域120流至圓周幫浦空腔125,並幫助系統100內的處理製程。
系統控制器170耦合至各種不同系統,以控制腔室中的製造製程。控制器170可包含溫度控制器175以執行溫度控制演算法(例如溫度回饋控制),並可為軟體、硬體、或軟體與硬體之結合。系統控制器170亦包含中央處理單元172、記憶體173以及輸入/輸出介面174。溫度控制器從底座上的感測器(未圖示)接收溫度讀數143。溫度感測器可接近冷卻劑通道、接近晶圓、或放置在底座的介電材料中。溫度控制器175使用感測到的一或多個溫度輸出控制訊號,以影響底座組件142與加熱源及(或)電漿腔室105外部的散熱器(諸如熱交換器177)之間的熱傳輸率。
系統亦可包含受控制的熱傳輸流體迴路141,基於溫度回饋迴路控制熱傳輸流體迴路141的流動。在範例具體實施例中,溫度控制器175耦合至熱交換器(HTX)/冷卻器177。熱傳輸流體流動通過閥(未圖示),由受閥控制的速率通過熱傳輸流體迴路141。閥可被併入熱交換器,或熱交換器內側或外側的幫浦中,以控制熱流體的流動速率。熱傳輸流體流動通過底座組件142中的導管,且隨後返回HTX 177。HTX提升或降低熱傳輸流體的溫度,隨後流體通過迴路返回底座組件。
HTX包含加熱器186以加熱熱傳輸流體,從而加熱基板。加熱器可使用電阻線圈圍繞熱交換器內(或隨著熱交換器)的管來形成,其中加熱流體透過交換器傳導熱至包含熱流體的導管。HTX亦包含冷卻器188,冷卻器188從熱流體吸取熱。這可由使用輻射器將熱丟入環境空氣中或冷卻流體中來完成,或可由任何各種其他方式來完成。可結合加熱器與冷卻器,使得溫度控制流體被首先加熱或冷卻,且隨後控制流體的熱被與熱傳輸流體迴路中的熱流體的熱交換。
溫度控制器175可控制HTX 177與底座組件142中的流體導管之間的閥(或其他流動控制裝置),以控制熱傳輸流體流至流體迴路的速率。溫度控制器175、溫度感測器、以及閥,可被結合以簡化建置與操作程序。在多個具體實施例中,在熱傳輸流體從流體導管返回後,熱交換器感測熱傳輸流體的溫度,並基於流體溫度與腔室102操作狀態所需的溫度加熱或冷卻熱傳輸流體。
亦可在底座組件中使用電加熱器(未圖示)以將熱施加至底座組件。電加熱器(形式通常為電阻性元件)耦合至電源供應器179,電源供應器179由溫度控制系統175控制,以充能加熱器元件而獲得所需溫度。
熱傳輸流體可為液體,諸如(但不限於)去離子水/乙二醇、氟化冷却劑(諸如來自3M的Fluorinert®或来自Solvay Solexis公司的Galden®)、或任何其他適合的介電流體(諸如包含全氟化惰性聚醚的介電流體)。雖然本說明書在PECVD處理腔室的背景內容下說明底座,但本文所述底座可被使用在各種不同的腔室中並可用於各種不同的製程。
背側氣體源178(諸如加壓氣體供應器或幫浦以及氣體貯槽)被透過質量流率計185或其他類型的閥耦合至吸盤組件142。背側氣體可為氦、氩、或提供晶圓與圓盤之間的熱對流而不影響腔室製程的氣體。受到連接至系統的系統控制器170的控制,氣體源透過更詳細說明於下文的底座組件氣體出口將氣體泵送至晶圓背側。
處理系統100亦可包含未特定圖示於第7圖中的其他系統,諸如電漿源、真空幫浦系統、存取門、微型加工、雷射系統、以及自動化處理系統等等。所圖示說明的腔室被提供以作為範例,且各種其他腔室之任意者可被與本發明使用,此係取決於工件與所需製程的本質。所說明的底座與熱流體控制系統可經調適,以與不同的實體腔室與製程使用。
在本說明書與附加申請專利範圍中使用的單數形式「一(a)」、「一(an)」、「該」,也意為包含複數形式,除非背景內容清楚說明並非如此。亦將瞭解到,本文使用的用詞「及(或)」,代表且包含相關聯的所列出的物件的一或更多者的任何及所有可能的結合。
用詞「耦合」與「連接」,以及他們的衍生用詞,可被使用在本文中以說明部件之間的功能性或結構性關係。應瞭解到,這些用詞並非意圖作為對於彼此的同義字。相對的,在特定具體實施例中,「連接」可用於指示兩個或兩個以上元件直接實體地、光學地或電性地接觸彼此。「耦合」可用於指示兩個或兩個以上元件直接或間接(即其間具有其他中介元件)實體地、光學地或電性地接觸彼此,及(或)此兩個或兩個以上元件彼此共同操作或與彼此互動(例如在一種因果關係中)。
本文中使用的用詞「上方(over)」、「下方(under)」、「之間(between)」及「上(on)」,代表一個部件或材料層相對於其他部件或層的相對位置,在此種實體關係值得討論時。例如在材料層的背景內容中,放置在另一層上方或下方的一個層,可直接接觸此另一層或可具有一或更多個中介層。再者,放置在兩個層之間的一個層,可直接接觸此兩個層,或可具有一或更多個中介層。相對的,在第二層「上」的第一層係與此第二層直接接觸。欲在部件組件的背景內容中作成類似的分異。
應瞭解到上文說明意為示例性而非為限制性。例如,雖然圖式中的流程圖顯示由本發明的某些具體實施例執行的操作的特定操作次序,但應瞭解到,此種次序並非為必需的(例如,替代性具體實施例可由不同次序、結合某些操作、覆蓋某些操作等等,來執行作業)。再者,在閱讀並瞭解上文說明時,在本發明領域中具有通常知識者將顯然明瞭到許多其他具體實施例。雖然已參照特定示例性具體實施例說明本發明,但應認知到,本發明並不限於所說明的具體實施例,而是可在附加申請專利範圍的精神與範圍內進行修改與變化。因此,本發明的範圍應參照附加申請專利範圍來決定,且包含這些申請專利範圍的完整均等範圍。
雙電極系統的不同具體實施例的範例,包含在頂板中具有雙DC電極的ESC,其中兩個電極間隔開且連接至電源供應器。
具體實施例包含前述設計,其中由兩個電極靠近圓盤頂表面的上電極產生ESC的靜電力,藉由對上電極施加電壓來充電上電極。
具體實施例包含前述設計,其中每一電極係由線網格形成。
具體實施例包含前述設計,其中網格為通常為正交交叉線的網。
具體實施例包含前述設計,包含鋁基底板,鋁基底板連接至RF產生器。
具體實施例包含前述設計,其中下電極透過一系列的栓釘電性連接至電壓供應器,該等栓釘附接於上電極與下電極之間且裝載相同的電壓電位。
具體實施例包含前述設計,其中上電極與下電極之間的區域中存在通道、孔及(或)間隙。
具體實施例包含前述設計,其中上電極與下電極之間區域中的任何通道、孔與間隙,將被屏蔽自由上網格與下網格建置的任何電荷。
具體實施例包含前述設計,其中由導電桿將電極連接至電源供應器。
具體實施例包含前述設計,其中桿具有高電阻係數區段與低電阻係數區段,高電阻係數區段具有例如大於1K歐姆的電阻值且最接近電極,低電阻係數區段具有例如小於1K歐姆的電阻值且最接近電源供應器。
具體實施例包含前述設計,其中高電阻係數部分係由鋁與氧化鋁粒子混合製成。
具體實施例包含用於執行前述具體實施例之任意者的功能的構件。
具體實施例包含一種用於在電漿處理腔室中處理工件的方法,包含將靜電吸盤的頂板中的電極驅動至第一電壓以抓持工件,第一電極係接近頂板的頂表面,以及將頂板中的第二電極驅動至第一電壓以在頂板內形成法拉第籠。
具體實施例包含前述設計,其中電極亦被驅動至射頻,以偏壓腔室中的電漿。
100‧‧‧電漿系統
102‧‧‧處理腔室主體
103‧‧‧電源插座或電源盒
104‧‧‧腔室蓋
106‧‧‧遮蔽環
108‧‧‧氣體分配系統
112‧‧‧側壁
116‧‧‧底壁
120‧‧‧處理區域
122‧‧‧通道
124‧‧‧通道
125‧‧‧圓周幫浦空腔
126‧‧‧軸
127‧‧‧腔室襯墊組件
128‧‧‧底座
129‧‧‧基座組件
130‧‧‧桿
131‧‧‧排氣通口
135‧‧‧圓周環
140‧‧‧氣體入口通道
141‧‧‧熱傳輸流體迴路
142‧‧‧噴淋頭組件
143‧‧‧溫度讀數
144‧‧‧阻隔板
146‧‧‧阻隔板
147‧‧‧冷卻通道
148‧‧‧環形基底板
158‧‧‧介電隔離器
160‧‧‧移送通口
161‧‧‧基板升舉銷
164‧‧‧幫浦系統
165‧‧‧射頻(RF)源
170‧‧‧系統控制器
172‧‧‧中央處理單元
173‧‧‧記憶體
174‧‧‧輸入/輸出介面
175‧‧‧溫度控制器
177‧‧‧熱交換器
178‧‧‧背側氣體源
179‧‧‧電源供應器
185‧‧‧質量流率計
186‧‧‧加熱器
188‧‧‧冷卻器
202‧‧‧冷卻板
204‧‧‧介電黏著層
206‧‧‧圓盤
208‧‧‧工件
210‧‧‧下電極
212‧‧‧上電極
214‧‧‧栓釘
220‧‧‧桿
222‧‧‧外部電源供應器
224‧‧‧外部RF(射頻)電源供應器
230‧‧‧中央管
232‧‧‧額外孔
240‧‧‧上部分
242‧‧‧下部分
260‧‧‧連接器桿
262‧‧‧桿
302‧‧‧基底板
304‧‧‧介電黏著層
306‧‧‧圓盤
308‧‧‧晶圓
310‧‧‧靜電電極
312‧‧‧靜電電極
314‧‧‧栓釘
320‧‧‧桿
322‧‧‧電壓源
324‧‧‧RF電源供應器
326‧‧‧電連接器
330‧‧‧中央氣孔
332‧‧‧升舉銷孔
402‧‧‧鋁基底板
404‧‧‧黏著層
406‧‧‧陶瓷圓盤
410‧‧‧下網格
412‧‧‧上網格
414‧‧‧栓釘
420‧‧‧桿
422‧‧‧電壓源
424‧‧‧第二RF電源供應器
426‧‧‧電連接器
430‧‧‧中央氣孔
432‧‧‧升舉銷孔
440‧‧‧DC電壓
502‧‧‧基底板
506‧‧‧頂板
510‧‧‧下電極
512‧‧‧上電極
514‧‧‧垂直栓釘
520‧‧‧桿
522‧‧‧網格連接桿的點
524‧‧‧電感器
526‧‧‧電絕緣體
附加圖式示例圖示本發明的具體實施例(且不作為限制),其中:
第1圖為根據本發明之具體實施例的具有雙網格電極的靜電吸盤的側截面圖;
第2圖為根據本發明之具體實施例的具有雙網格電極的替代性靜電吸盤的側截面圖;
第3圖為根據本發明之具體實施例的具有雙網格電極的又一替代性靜電吸盤的側截面圖;
第4圖為根據本發明之具體實施例的具有雙網格電極的又一替代性靜電吸盤的側截面圖;
第5圖為根據本發明之具體實施例的具有雙網格電極的又一替代性靜電吸盤的側截面圖;
第6圖為根據本發明之具體實施例的第1圖的電連接器的範例的側截面圖;
第7圖為根據本發明之具體實施例的第1圖圓盤的部分透明俯視圖,圖示了各種洞;
第8圖為根據本發明之具體實施例的具有加強桿的靜電吸盤的側截面圖;且
第9圖為根據本發明之具體實施例的包含工件承載器的電漿蝕刻系統的示意圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
202‧‧‧冷卻板
204‧‧‧介電黏著層
206‧‧‧圓盤
208‧‧‧工件
210‧‧‧下電極
212‧‧‧上電極
214‧‧‧栓釘
220‧‧‧桿
222‧‧‧外部電源供應器
224‧‧‧外部RF(射頻)電源供應器
230‧‧‧中央管
232‧‧‧額外孔
262‧‧‧桿

Claims (20)

  1. 一種靜電吸盤,包含: 一基底板; 一頂板; 一第一電極,該第一電極位於該頂板中且鄰接該頂板的該頂表面,以靜電抓持一工件;以及 一第二電極,該第二電極在該頂板中並與該第一電極間隔開,該第一電極與該第二電極耦合至一電源供應器,以靜電充電該第一電極。
  2. 如請求項1所述之吸盤,其中該電源供應器提供一直流電至該第一電極與該第二電極。
  3. 如請求項2所述之吸盤,其中該電源供應器進一步提供一交流電至該第一電極,以在該工件上引發一偏壓電壓。
  4. 如請求項1所述之吸盤,其中該基底板係由一介電黏著劑附接至該頂板。
  5. 如請求項1所述之吸盤,該吸盤進一步包含複數個導電栓釘,以將該第一電極電性連接至該第二電極。
  6. 如請求項5所述之吸盤,其中該第一電極與該第二電極係由一導電網格形成。
  7. 如請求項5所述之吸盤,其中該頂板為陶瓷,且該等電極與栓釘係嵌入該陶瓷。
  8. 如請求項1所述之吸盤,該吸盤進一步包含一電源供應器,該電源供應器耦合至該基底板以施加一直流電壓至該基底板,該直流電壓的極性相同於施加至該第一電極與該第二電極的一直流電壓的極性。
  9. 如請求項1所述之吸盤,其中施加至該基底板的該直流電壓約為施加至該等電極的該直流電壓的一半。
  10. 如請求項1所述之吸盤,其中該第一電極與該第二電極藉由耦合至該第二電極的一桿耦接至該電源供應器,且其中複數個導電栓釘將來自該電源供應器的電力從該第二電極電性連接至該第一電極。
  11. 如請求項1所述之吸盤,其中該第一電極與該第二電極之至少一者藉由一桿耦合至該電源供應器,該桿具有一高電阻值區段與一低電阻值區段,該高電阻值區段耦合至該電極,該低電阻值區段耦合至該電源供應器。
  12. 如請求項1所述之吸盤,其中該第一電極與該第二電極的至少一者藉由一桿耦合至該電源供應器,該桿延伸穿過該基底板,該桿具有在該基底板內的一電感器。
  13. 如請求項12所述之吸盤,其中該電感器具有一電感值,以扼流所施加的一射頻電漿在該頂板中產生的射頻電流。
  14. 如請求項1所述之吸盤,其中該基底板包含冷卻通道以裝載一冷卻劑以冷卻該工件。
  15. 如請求項1所述之吸盤,其中該第一電極與該第二電極之間的一區域中的任何通道、孔與間隙,將被屏蔽自由該第一電極與該低電極建置的電荷。
  16. 一種用於在一電漿處理腔室中處理一工件的方法,包含以下步驟: 驅動第一電極步驟,將一靜電吸盤的一頂板中的一電極驅動至一第一電壓以抓持該工件,該第一電極位於該頂板的一頂表面附近;以及 驅動第二電極步驟,將該頂板中的一第二電極驅動至該第一電壓,以與該頂板內的該第一電極一起形成一法拉第籠。
  17. 如請求項16所述之方法,該方法進一步包含以下步驟:將該等電極驅動至一射頻,以偏壓該腔室中的該工件。
  18. 一種電漿處理腔室,包含: 一電漿腔室; 一電漿源,用於在該電漿腔室中產生包含氣體離子的一電漿;以及 一靜電吸盤,該靜電吸盤包含一基底板、一頂板、一第一電極與一第二電極,該第一電極在該頂板中鄰接該頂板的該頂表面以靜電抓持一工件,該第二電極在該頂板中與該第一電極間隔開,該第一電極與該第二電極耦合至一電源供應器,以靜電充電該第一電極。
  19. 如請求項18所述之腔室,該承載器進一步包含在該冷卻板下方的一支撐板,該支撐板經配置以連接至一氣體線,以將加壓的該氣體供應至一冷卻氣孔。
  20. 如請求項18或19所述之腔室,其中該第一電極與該第二電極之至少一者藉由一桿耦合至該電源供應器,該桿具有一高電阻值區段、一低電阻值區段以及一電感器,該高電阻值區段耦合至該電極,該低電阻值區段耦合至該電源供應器,該電感器位於該基底板內。
TW106103433A 2016-06-07 2017-02-02 具有射頻耦合的高功率靜電吸盤設計 TWI776800B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662346746P 2016-06-07 2016-06-07
US62/346,746 2016-06-07
US201662352667P 2016-06-21 2016-06-21
US62/352,667 2016-06-21
US15/383,035 2016-12-19
US15/383,035 US11532497B2 (en) 2016-06-07 2016-12-19 High power electrostatic chuck design with radio frequency coupling

Publications (2)

Publication Number Publication Date
TW201807770A true TW201807770A (zh) 2018-03-01
TWI776800B TWI776800B (zh) 2022-09-11

Family

ID=60482465

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106103433A TWI776800B (zh) 2016-06-07 2017-02-02 具有射頻耦合的高功率靜電吸盤設計

Country Status (6)

Country Link
US (2) US11532497B2 (zh)
JP (1) JP7101482B2 (zh)
KR (1) KR20190005704A (zh)
CN (1) CN107710398B (zh)
TW (1) TWI776800B (zh)
WO (1) WO2017213715A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018179891A1 (ja) * 2017-03-28 2018-10-04 住友電気工業株式会社 ウエハ保持体
TWI764008B (zh) * 2018-06-19 2022-05-11 美商應用材料股份有限公司 高品質間隙填充的高偏壓沉積
US11367597B2 (en) * 2018-07-05 2022-06-21 Samsung Electronics Co., Ltd. Electrostatic chuck and plasma processing apparatus including the same
JP7153574B2 (ja) * 2019-01-17 2022-10-14 東京エレクトロン株式会社 上部電極構造、プラズマ処理装置、及び上部電極構造を組み立てる方法
TWI701751B (zh) * 2019-03-12 2020-08-11 力晶積成電子製造股份有限公司 晶圓夾盤裝置、晶圓形變量的量測方法及半導體製造方法
US11587773B2 (en) * 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
CN114245936A (zh) * 2019-08-08 2022-03-25 日本碍子株式会社 半导体制造装置用构件
KR20220046682A (ko) * 2019-08-26 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 균일성이 개선된 반도체 프로세싱 장치

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US6627198B2 (en) 1997-03-13 2003-09-30 Corixa Corporation Fusion proteins of Mycobacterium tuberculosis antigens and their uses
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US5880923A (en) 1997-06-09 1999-03-09 Applied Materials Inc. Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
US6104596A (en) * 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6057244A (en) * 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
US6267839B1 (en) * 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
KR100378187B1 (ko) * 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP4346877B2 (ja) 2002-08-29 2009-10-21 東京エレクトロン株式会社 静電吸着装置および処理装置
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
JP4397271B2 (ja) 2003-05-12 2010-01-13 東京エレクトロン株式会社 処理装置
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
JP5013666B2 (ja) 2003-10-17 2012-08-29 ソニー株式会社 外装フィルムを使用した電池パック及び電池パックの製造方法
JP4510745B2 (ja) 2005-10-28 2010-07-28 日本碍子株式会社 セラミックス基材と電力供給用コネクタの接合構造
JP4825220B2 (ja) * 2005-12-06 2011-11-30 株式会社クリエイティブ テクノロジー 静電チャック用電極シート及び静電チャック
US7667944B2 (en) * 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
JP2009239012A (ja) 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
WO2011000689A1 (en) * 2009-06-30 2011-01-06 Asml Holding N.V. Image-compensating addressable electrostatic chuck system
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
JP5466756B2 (ja) 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
CN102870205B (zh) 2010-03-26 2016-01-27 株式会社爱发科 基板保持装置
JP6195519B2 (ja) * 2010-08-06 2017-09-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック及びその使用方法
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8520360B2 (en) * 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US9070536B2 (en) 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
CN104685608A (zh) 2012-09-26 2015-06-03 应用材料公司 具有闭环控制的底部和侧边等离子体调节
KR101413898B1 (ko) * 2012-11-06 2014-06-30 엔지케이 인슐레이터 엘티디 서셉터
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN105917457B (zh) 2014-01-22 2019-05-14 株式会社爱发科 等离子体处理装置以及晶片搬送用托盘
EP3158581A1 (en) * 2014-06-17 2017-04-26 Evatec AG Electro-static chuck with radiofrequency shunt
JP6377975B2 (ja) 2014-06-23 2018-08-22 新光電気工業株式会社 基板固定装置
JP6333377B2 (ja) 2014-07-16 2018-05-30 株式会社Joled トランジスタ、表示装置および電子機器
JP6296299B2 (ja) 2014-09-02 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
US10002782B2 (en) * 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10083853B2 (en) * 2015-10-19 2018-09-25 Lam Research Corporation Electrostatic chuck design for cooling-gas light-up prevention
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes

Also Published As

Publication number Publication date
CN107710398A (zh) 2018-02-16
US20230072594A1 (en) 2023-03-09
JP7101482B2 (ja) 2022-07-15
CN107710398B (zh) 2023-06-27
US11948826B2 (en) 2024-04-02
WO2017213715A1 (en) 2017-12-14
US11532497B2 (en) 2022-12-20
US20170352567A1 (en) 2017-12-07
KR20190005704A (ko) 2019-01-16
JP2019522889A (ja) 2019-08-15
TWI776800B (zh) 2022-09-11

Similar Documents

Publication Publication Date Title
TWI809356B (zh) 具有氣孔中之減少孔徑之插塞的高功率靜電夾具以及與其相關的方法和腔室
TWI776800B (zh) 具有射頻耦合的高功率靜電吸盤設計
JP6144263B2 (ja) 半導体処理のための平面熱ゾーンを伴う熱板
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
TWI721062B (zh) 電漿處理方法及電漿處理裝置
TWI762551B (zh) 電漿處理裝置
JP2010118551A (ja) 静電チャック及び基板処理装置
JP2018113430A (ja) 接点を有する、応力均衡のとれた静電基板キャリア
TW202201467A (zh) 基板處理腔室中的處理套組之鞘與溫度控制
JP2019220497A (ja) 載置台及びプラズマ処理装置
JP2007250860A (ja) プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
TWI765892B (zh) 具有增強邊緣密封的用於高功率之工件載體
JP5654083B2 (ja) 静電チャック及び基板処理装置
JP2022042379A (ja) 載置台及びプラズマ処理装置
TW202226414A (zh) 用於半導體加工的高熱量損失加熱器與靜電卡盤
JP2021197548A (ja) エッジリング及びプラズマ処理装置
TW201306168A (zh) 靜電吸盤、具有其之基板處理設備及基板處理方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent