TW201807770A - High power electrostatic chuck design with radio frequency coupling - Google Patents

High power electrostatic chuck design with radio frequency coupling Download PDF

Info

Publication number
TW201807770A
TW201807770A TW106103433A TW106103433A TW201807770A TW 201807770 A TW201807770 A TW 201807770A TW 106103433 A TW106103433 A TW 106103433A TW 106103433 A TW106103433 A TW 106103433A TW 201807770 A TW201807770 A TW 201807770A
Authority
TW
Taiwan
Prior art keywords
electrode
top plate
power supply
coupled
base plate
Prior art date
Application number
TW106103433A
Other languages
Chinese (zh)
Other versions
TWI776800B (en
Inventor
趙在龍
維傑D 帕克
王海濤
卡堤克 拉馬斯瓦米
張純磊
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201807770A publication Critical patent/TW201807770A/en
Application granted granted Critical
Publication of TWI776800B publication Critical patent/TWI776800B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support

Abstract

An electrostatic chuck is described that has radio frequency coupling suitable for use in high power plasma environments. In some examples, the chuck includes a base plate, a top plate, a first electrode in the top plate proximate the top surface of the top plate to electrostatically grip a workpiece, and a second electrode in the top plate spaced apart from the first electrode, the first and second electrodes being coupled to a power supply to electrostatically charge the first electrode.

Description

具有射頻耦合的高功率靜電吸盤設計High-power electrostatic chuck design with RF coupling

對於相關申請案的交互參照:本申請案主張對於Jaeyong Cho等人前於2016年6月21日提出、名為「HIGH POWER ELECTROSTATIC CHUCK DESIGN WITH RADIO FREQUENCY COUPLING」的美國臨時專利申請案第62/352,667號的優先權,並主張對於Jaeyong Cho等人前於2016年6月7日提出、名為「HIGH POWER ELECTROSTATIC CHUCK DESIGN WITH RADIO FREQUENCY COUPLING」的美國臨時專利申請案第62/346,746號的優先權。Cross-reference to related applications: This application claims to US Provisional Patent Application No. 62 / 352,667, filed on June 21, 2016 and entitled "HIGH POWER ELECTROSTATIC CHUCK DESIGN WITH RADIO FREQUENCY COUPLING" by Jaeyong Cho et al. And claims priority to US Provisional Patent Application No. 62 / 346,746 filed by Jaeyong Cho et al. On June 7, 2016, entitled "HIGH POWER ELECTROSTATIC CHUCK DESIGN WITH RADIO FREQUENCY COUPLING".

本說明相關於用於承載工件以進行半導體與微機電處理的靜電吸盤,且特定而言相關於吸盤中的電極。This description relates to electrostatic chucks for carrying workpieces for semiconductor and micro-electromechanical processing, and in particular to electrodes in the chuck.

在半導體晶片的生產過程中,矽晶圓或其他基板在不同的處理腔室中被暴露至各種不同的製程。腔室可使晶圓暴露至數種不同的化學與物理性製程,以在基板上產生小型積體電路。構成積體電路的材料層,係由包含化學氣相沈積、物理氣相沈積、壘晶長成等等的製程來產生。使用光阻劑遮罩與濕式(或乾式)蝕刻技術以圖案化一些材料層。基板可為矽、砷化鎵,磷化銦,玻璃或其他合適的材料。During the production of semiconductor wafers, silicon wafers or other substrates are exposed to various processes in different processing chambers. The chamber exposes the wafer to several different chemical and physical processes to create small integrated circuits on the substrate. The material layer constituting the integrated circuit is produced by a process including chemical vapor deposition, physical vapor deposition, barrier crystal growth, and the like. Use photoresist masks and wet (or dry) etching techniques to pattern some material layers. The substrate may be silicon, gallium arsenide, indium phosphide, glass or other suitable materials.

在這些生產製程中,可使用電漿以沈積或蝕刻各種材料層。電漿處理提供了優於熱處理的許多優點。例如,相較於對比的熱製程,電漿增強化學氣相沈積(PECVD)允許在較低溫度與較高沈積率下執行沈積製程。因此,PECVD允許在較低溫度下沈積材料。In these production processes, a plasma can be used to deposit or etch various material layers. Plasma treatment offers many advantages over heat treatment. For example, compared to a comparative thermal process, plasma enhanced chemical vapor deposition (PECVD) allows the deposition process to be performed at lower temperatures and higher deposition rates. Therefore, PECVD allows material to be deposited at lower temperatures.

這些製程中使用的處理腔室,通常包含放置在處理腔室中的基板支座、底座或吸盤,以在處理期間支撐基板。在一些製程中,底座可包含嵌入式加熱器,嵌入式加熱器經調適以控制基板溫度,及(或)提供可在製程中使用的升高溫度。The processing chambers used in these processes typically include a substrate support, base, or suction cup placed in the processing chamber to support the substrate during processing. In some processes, the base may include a built-in heater that is adapted to control the substrate temperature, and / or provides an elevated temperature that can be used in the process.

HAR(高深寬比)電漿蝕刻使用高得多的偏壓功率,以達到無彎曲的輪廓。為了支援對介電質蝕刻進行HAR,功率可被提升至20KW,此對ESC(靜電吸盤)帶來了顯著的衝擊。許多當前的ESC設計無法容許由高偏壓功率直接產生的如此高的電壓。設計於ESC中的孔特別容易受影響。再者,在過量的自由基侵蝕接合處時,ESC可經歷到升舉銷區域中的接合失效。另一種衝擊,為ESC表面溫度以較高的速率改變。ESC表面的加熱直接與所施加了RF電漿功率成比例。熱亦可為接合失效的結果。此外,ESC上承載的晶圓的翹曲,以及晶圓上建置的電荷,也使晶圓的解吸附更加困難。HAR (high aspect ratio) plasma etching uses a much higher bias power to achieve a bend-free profile. In order to support HAR for dielectric etching, the power can be increased to 20KW, which has a significant impact on ESC (electrostatic chuck). Many current ESC designs cannot tolerate such high voltages directly from high bias power. Holes designed in ESC are particularly vulnerable. Furthermore, ESCs can experience joint failure in the area of the lift pin when excessive free radicals attack the joint. Another kind of impact is that ESC surface temperature changes at a higher rate. The heating of the ESC surface is directly proportional to the RF plasma power applied. Heat can also be the result of joint failure. In addition, the warpage of the wafer carried on the ESC and the charge built on the wafer also make the desorption of the wafer more difficult.

常見的使用ESC固持晶圓的製程,由2MHz 6.5KW電漿功率施加至晶圓以進行蝕刻應用。高深寬比(例如100:1)的應用使用高得多的電漿功率。本文說明在低頻高功率電漿電壓內作業以產生高晶圓偏壓的ESC。較高的功率將增加ESC的失效機率,因為介電質崩潰以及設計於ESC中的氣孔中的電漿點燃。所說明的ESC能承受高功率與高偏壓電壓。A common process using ESC to hold wafers is to apply 2MHz 6.5KW plasma power to the wafer for etching applications. Applications with high aspect ratios (eg 100: 1) use much higher plasma power. This article describes operating in low frequency, high power plasma voltages to produce ESCs with high wafer bias. Higher power will increase the chance of ESC failure due to dielectric breakdown and plasma ignition in the pores of the ESC. The illustrated ESC can withstand high power and high bias voltages.

說明具有改良電極的靜電吸盤。在一些範例中,吸盤包含基底板、頂板、在頂板中鄰接頂板頂表面以靜電握持工件的第一電極、以及在頂板中與第一電極間隔開的第二電極,第一與第二電極耦合至電源供應器以靜電充電第一電極。An electrostatic chuck having an improved electrode will be described. In some examples, the suction cup includes a base plate, a top plate, a first electrode adjacent to the top surface of the top plate in the top plate to hold the workpiece electrostatically, and a second electrode spaced from the first electrode in the top plate, the first and second electrodes. The first electrode is coupled to a power supply to electrostatically charge the first electrode.

在一些具體實施例中,在靜電吸盤(electrostatic chuck; ESC)的陶瓷頂板內形成(或加入)兩層網格。相較於上網格,下網格的設計被修改,以在處理期間在吸盤固持工件(諸如矽晶圓)時容許較高的電漿功率與頻率。可由各種不同的方式形成吸盤。In some embodiments, a two-layer grid is formed (or added) in a ceramic top plate of an electrostatic chuck (ESC). Compared to the upper grid, the design of the lower grid has been modified to allow higher plasma power and frequency when the chuck holds a workpiece (such as a silicon wafer) during processing. The suction cup can be formed in various ways.

電漿中的較高電壓,可造成吸盤頂板或圓盤內的靜電放電。在圓盤中使用上下兩層電極網格,可在圓盤中形成無放電的法拉第籠(Faraday cage)。The higher voltage in the plasma can cause electrostatic discharge in the top plate or disc of the suction cup. Using a two-layer grid of electrodes in the disc, a non-discharged Faraday cage can be formed in the disc.

第1圖為靜電吸盤的截面側視圖,其中上圓盤中具有雙網格電極。在所圖示的範例中,吸盤為具有鋁冷卻板材或基底板的ESC。由介電黏著層204將圓盤206接合至基底板。黏著層衰減圓盤與基底板之間的電性與熱性傳導。圓盤由陶瓷或另一介電質製成。圓盤使用靜電力固持工件,諸如晶圓208。本文將把工件稱為晶圓,雖然吸盤可承載其他工件以用於各種不同的製品與製程。將示意圖簡化,以避免遮蔽本發明的特徵。Fig. 1 is a cross-sectional side view of an electrostatic chuck, wherein a double grid electrode is provided in the upper disc. In the illustrated example, the suction cup is an ESC with an aluminum cooling plate or base plate. The disc 206 is bonded to the base plate by a dielectric adhesive layer 204. The adhesive layer attenuates electrical and thermal conduction between the disc and the substrate. The disc is made of ceramic or another dielectric. The disc uses electrostatic forces to hold a workpiece, such as wafer 208. This article will refer to the workpiece as a wafer, although the chuck can carry other workpieces for a variety of different products and processes. The diagram is simplified to avoid obscuring the features of the invention.

基底板可包含許多其他部件、特徵、以及對於熱性流體、氣流的外部連結、加熱器電力、感測器、以及其他部件。類似的,圓盤可包含加熱器、感測器、液體通道與氣流通道、以及通過基底板連接至外部部件的其他特徵。所圖示的基底板下可存在額外板材,以提供實體支撐並承載這些其他部件的一些。儘管可存在許多其他額外的特徵,可存在穿過吸盤基底板與頂板的單一中央管230,以從晶圓背側承載冷卻與熱性傳導氣體(諸如氦)穿過吸盤。可存在額外的氣孔與其他孔。穿過基底板與晶圓的額外孔232可提供升舉銷,以例如將晶圓推離吸盤以解除吸附。The base plate may contain many other components, features, and external connections for thermal fluids, airflow, heater power, sensors, and other components. Similarly, the disc may contain heaters, sensors, liquid and air channels, and other features connected to external components through a base plate. There may be additional boards under the illustrated base plate to provide physical support and to carry some of these other components. Although there may be many other additional features, there may be a single central tube 230 passing through the base plate and the top plate of the chuck to carry a cooling and thermally conductive gas (such as helium) from the backside of the wafer through the chuck. There may be additional air holes and other holes. Additional holes 232 through the base plate and the wafer may provide lifting pins to, for example, push the wafer away from the chuck to desorb.

使用上電極212(諸如圓盤頂表面附近的線網格或板材)產生固持晶圓208的靜電力,由透過穿過基底板與圓盤的電連接器或桿220施加來自外部電源供應器222的電壓至線網格,來充電上電極212。外部電源供應器可為AC(交流)或DC(直流)電源。線網格212在此截面側視圖中呈現為晶圓附近的線。在俯視圖中,網格為通常為正交交叉線的網,此網覆蓋圓盤頂表面附近區域的大部分。線可為銅、鋁或鉬。或者,線網格可為嵌入圓盤的實心(或大部分為實心)的傳導板材。板材可具有數個部件,以施加不同的靜電極性或電荷量。頂網格212與底網格210可由網印、沈積或旋轉來製成。或者,傳導板材可被個別鑄造或加工,且隨後在形成頂板時置入頂板中。The upper electrode 212 (such as a wire grid or plate near the top surface of the disk) is used to generate an electrostatic force holding the wafer 208, which is applied from an external power supply 222 through an electrical connector or rod 220 that passes through the base plate and the disk. Voltage to the wire grid to charge the upper electrode 212. The external power supply can be AC (alternating current) or DC (direct current) power. The line grid 212 appears as lines near the wafer in this cross-sectional side view. In a top view, the grid is a network of generally orthogonal crossing lines that covers most of the area near the top surface of the disc. The wire can be copper, aluminum or molybdenum. Alternatively, the line grid may be a solid (or mostly solid) conductive plate embedded in a disc. The board can have several parts to apply different electrostatic polarities or charge amounts. The top grid 212 and the bottom grid 210 can be made by screen printing, deposition or rotation. Alternatively, the conductive sheet may be individually cast or machined and then placed into the top plate when it is formed.

上線網格亦可被透過電連接器220耦合至外部RF(射頻)電力產生器224,以在晶圓上引發偏壓電壓並在晶圓上引發離子轟擊。RF電源供應器224可相同或不同於DC電壓源222。至上網格212的連接器220可為連接至相同上網格的相同連接器(或兩個或兩個以上不同的連接器)。The on-line grid can also be coupled to an external RF (radio frequency) power generator 224 through the electrical connector 220 to induce a bias voltage on the wafer and cause ion bombardment on the wafer. The RF power supply 224 may be the same or different from the DC voltage source 222. The connector 220 of the upper grid 212 may be the same connector (or two or more different connectors) connected to the same upper grid.

如上文提及的,圓盤具有雙網格或雙電極。下網格210被加入主要上網格212下方。使用一系列的栓釘214將下線網格電性連接至電壓供應器,栓釘214連接於上網格與下網格之間並承載相同的電壓電位與RF功率。從RF功率耦合的觀點看來,雙網格使得介電質的等效厚度變得較薄,同時維持較厚介電材料的熱性U%益處。在此背景內容中的U%代表均勻度的量。雙網格亦減少或消除上下網格之間的電場梯度。As mentioned above, the disc has a dual grid or dual electrodes. The lower grid 210 is added below the main upper grid 212. A series of bolts 214 are used to electrically connect the lower grid to the voltage supply. The bolts 214 are connected between the upper grid and the lower grid and carry the same voltage potential and RF power. From an RF power coupling point of view, the dual grid makes the equivalent thickness of the dielectric thinner while maintaining the thermal U% benefit of the thicker dielectric material. U% in this context represents the amount of uniformity. The double grid also reduces or eliminates the electric field gradient between the upper and lower grids.

藉由使用栓釘214連接兩個網格210、212,雙網格能夠防止圓盤中的氦點燃。氦點燃肇因於耦合至嵌入陶瓷板的單一網格電極的RF功率所產生的電場。此為用於以靜電力夾持晶圓的上電極。下網格在上網格與下網格之間在圓盤內產生一種法拉第籠。上下網格之間區域中的所有通道、孔與間隙(未圖示),將被屏蔽自任何累積電荷。上網格儘可能地靠近圓盤頂部,以提供較佳的靜電抓持。下網格儘可能地靠近圓盤底部以提供較大的法拉第籠。By using the pegs 214 to connect the two grids 210, 212, the double grid can prevent the helium in the disc from igniting. Helium ignition is caused by an electric field generated by RF power coupled to a single grid electrode embedded in a ceramic plate. This is an upper electrode for holding a wafer with an electrostatic force. The lower grid creates a Faraday cage within the disc between the upper and lower grids. All channels, holes and gaps (not shown) in the area between the upper and lower grids will be shielded from any accumulated charge. The upper grid is as close to the top of the disc as possible to provide better electrostatic grip. The lower grid is as close as possible to the bottom of the disc to provide a larger Faraday cage.

第2圖為雙網格屏蔽的替代性具體實施例的截面側視圖。如第1圖範例,由絕緣黏著層304將圓盤306或頂板附接至基底板302。基底板通常為鋁,同時頂板通常為陶瓷(諸如氧化鋁),然而可使用其他材料。除了其他特徵以外,存在中央氣孔330與升舉銷孔332。如第1圖範例,圓盤具有上網格312與下網格310,由栓釘314或任何其他適合的電連接器將上網格312與下網格310電性連接在一起。在燒製陶瓷時將網格與栓釘嵌入陶瓷中,然而,網格與栓釘可替代性地被由其他方式附接或形成。上網格提供靜電電荷,以抓持要處理的晶圓308。如第1圖,下線網格被電性連接至電壓供應器,並承載相同的電壓電位。Figure 2 is a cross-sectional side view of an alternative embodiment of a dual grid shield. As in the example of FIG. 1, the disk 306 or the top plate is attached to the base plate 302 by the insulating adhesive layer 304. The base plate is usually aluminum, while the top plate is usually ceramic (such as alumina), although other materials can be used. Among other features, there are a central air hole 330 and a lift pin hole 332. As shown in the example in FIG. 1, the disc has an upper grid 312 and a lower grid 310, and the upper grid 312 and the lower grid 310 are electrically connected together by a bolt 314 or any other suitable electrical connector. The grids and pegs are embedded in the ceramic when the ceramic is fired, however, the grids and pegs may be attached or formed by other means instead. The upper grid provides an electrostatic charge to hold the wafer 308 to be processed. As shown in Figure 1, the offline grid is electrically connected to the voltage supply and carries the same voltage potential.

在第2圖範例中,兩個網格被透過電連接器耦合至電壓源322,電連接器的形式為傳遞穿過基底板302中的通道的桿320。使用電連接器326將RF電源供應器324耦合至基底板302。RF功率產生器在晶圓上引發偏壓電壓,並在晶圓上引發離子轟擊。In the example of FIG. 2, two grids are coupled to a voltage source 322 through an electrical connector in the form of a rod 320 passing through a channel in the base plate 302. The RF power supply 324 is coupled to the base board 302 using an electrical connector 326. The RF power generator induces a bias voltage on the wafer and causes ion bombardment on the wafer.

雙網格功能與先前範例相同,為藉由屏蔽圓盤中兩個網格層之間的部分以避免任何電荷累積,以防止圓盤中此部分中的任何氣體或其他材料的點燃。此外,雙網格310、312加強從冷卻板穿過圓盤306至晶圓308的RF功率324的傳導。傳導網格310、312與基底板302部分作為電容器板,此等電容器板由介電黏著層304與圓盤306陶瓷材料分隔。藉由下網格310以及將下網格靠近基底板放置,而減少了此電容值。藉由將類似於施加至圓盤靜電電極310、312的DC電壓的DC電壓施加至基底板,亦減少了電容值。此種DC電位可被施加至本文所述範例之任意者中的基底板。The dual-grid function is the same as in the previous example, in order to prevent any charge buildup by shielding the part between the two grid layers in the disc to prevent ignition of any gas or other material in this part of the disc. In addition, the dual grids 310, 312 enhance the conduction of RF power 324 from the cooling plate through the disk 306 to the wafer 308. The conductive grids 310 and 312 and the base plate 302 serve as capacitor plates. These capacitor plates are separated from the ceramic material of the disc 306 by a dielectric adhesive layer 304. By lower grid 310 and placing the lower grid close to the base plate, this capacitance value is reduced. By applying a DC voltage similar to the DC voltage applied to the disc electrostatic electrodes 310, 312 to the base plate, the capacitance value is also reduced. This DC potential can be applied to a substrate plate in any of the examples described herein.

雙網格結構顯著地減少了電容值以及透過圓盤在冷卻板與晶圓之間的阻抗。等效而言,雙網格減少了圓盤的介電厚度,為了耦合通過圓盤的RF功率。同時維持了熱性U%益處。在電漿蝕刻腔室中的真實晶圓上,此可使蝕刻速率增加10%或更高。The dual grid structure significantly reduces the capacitance and impedance between the cooling plate and the wafer through the disk. Equivalently, the dual grid reduces the dielectric thickness of the disc in order to couple RF power through the disc. While maintaining the thermal U% benefits. On a real wafer in a plasma etch chamber, this can increase the etch rate by 10% or more.

第3圖為雙網格屏蔽的替代性具體實施例的截面側視圖,其中施加負電壓至冷卻板,以減少工件與冷卻板之間的電位差異。如第1圖範例,由黏著層404將陶瓷圓盤406附接至鋁基底板402。圓盤具有嵌入圓盤的上網格412與下網格410,上網格412與下網格410由栓釘414連接在一起。如第1圖,下線網格被電性連接至電壓供應器,並承載相同的電壓電位。除了其他特徵以外,組件具有中央氣孔430與升舉銷孔432。FIG. 3 is a cross-sectional side view of an alternative embodiment of a dual-grid shield in which a negative voltage is applied to the cooling plate to reduce the potential difference between the workpiece and the cooling plate. As in the example of FIG. 1, the ceramic disc 406 is attached to the aluminum base plate 402 by the adhesive layer 404. The disc has an upper grid 412 and a lower grid 410 embedded in the disc, and the upper grid 412 and the lower grid 410 are connected by a bolt 414. As shown in Figure 1, the offline grid is electrically connected to the voltage supply and carries the same voltage potential. Among other features, the assembly has a central air hole 430 and a lift pin hole 432.

在第3圖範例中,兩個網格被透過穿過基底板402的桿420耦合至電壓源422。RF電源供應器(未圖示)亦可被耦合至圓盤,以在晶圓上引發偏壓電壓。亦使用電連接器426將第二RF電源供應器424耦合至基底板402。此外,將DC電壓440耦合至基底板。DC電源供應器440與RF電源供應器424可為相同或分離(如圖示)。In the example of FIG. 3, two grids are coupled to a voltage source 422 through a rod 420 passing through a base plate 402. An RF power supply (not shown) can also be coupled to the disc to induce a bias voltage on the wafer. The second RF power supply 424 is also coupled to the base board 402 using an electrical connector 426. In addition, a DC voltage 440 is coupled to the base plate. The DC power supply 440 and the RF power supply 424 may be the same or separate (as shown).

基底板上的DC電位減少基底板與晶圓之間的電位差。施加至DC電極的電力不會產生DC放電,因為電極被嵌入陶瓷中。此防止次級電子從電極發射而維持DC放電。另一方面,晶圓與冷卻板之間存在電位差異。由施加至第1圖中電極或第2圖中基底板的RF電力產生晶圓上的電位。The DC potential on the base plate reduces the potential difference between the base plate and the wafer. The electric power applied to the DC electrode does not generate a DC discharge because the electrode is embedded in the ceramic. This prevents secondary electrons from being emitted from the electrodes while maintaining DC discharge. On the other hand, there is a potential difference between the wafer and the cooling plate. The potential on the wafer is generated by the RF power applied to the electrodes in FIG. 1 or the base plate in FIG. 2.

作為範例,在靜電電極上產生-4kV的吸附電壓,則電位差異將為4kV或更多。若基底板的電壓被允許為浮動,則差異可更大。另一方面,藉由施加約-2kV的電壓至基底板,電位差異可被減少一半(為約2kV)。減少的電容值對電漿製程參數提供更多控制,並進一步減少了基底板與圓盤中氣孔內的電弧效應。可施加較高電壓至基底板,上至並包含晶圓電壓(在此情況中為-4kV),但電壓可為另一電壓。此減少了穿過圓盤的電場。As an example, if an adsorption voltage of -4kV is generated on the electrostatic electrode, the potential difference will be 4kV or more. If the voltage of the base plate is allowed to float, the difference can be even greater. On the other hand, by applying a voltage of about -2kV to the base plate, the potential difference can be reduced by half (about 2kV). The reduced capacitance value provides more control of the plasma process parameters, and further reduces the arcing effect in the air holes in the base plate and disc. Higher voltages can be applied to the substrate, up to and including the wafer voltage (-4kV in this case), but the voltage can be another voltage. This reduces the electric field across the disc.

第4圖為具有雙網格的第1圖吸盤的變異的截面側視圖。在此範例中,吸盤亦為具有冷卻板202的ESC。由介電黏著層204將圓盤206接合至基底板。圓盤使用靜電力固持工件208。穿過吸盤基底板與頂板的單一中央管230,從晶圓背側承載冷卻與熱性傳導氣體(諸如氦、氮、或一些其他的氣體)穿過吸盤。穿過基底板與晶圓的額外孔232承載氣體,或包含升舉銷以將晶圓推離吸盤以解除吸附。Fig. 4 is a cross-sectional side view of a variation of the sucker of Fig. 1 having a double grid. In this example, the suction cup is also an ESC with a cooling plate 202. The disc 206 is bonded to the base plate by a dielectric adhesive layer 204. The disc holds the workpiece 208 using an electrostatic force. A single central tube 230 passing through the base plate and the top plate of the chuck carries a cooling and thermally conductive gas (such as helium, nitrogen, or some other gas) from the wafer back side through the chuck. The extra holes 232 through the base plate and the wafer carry the gas, or contain lifting pins to push the wafer away from the chuck to desorb.

使用上電極212產生固持晶圓208的靜電力,由透過穿過基底板與圓盤的電連接器或桿220施加來自外部電源供應器222的電壓至下電極210,來充電上電極212。底電極212亦可被耦合至外部RF(射頻)功率產生器224。如圖示,連接器桿260被直接連接下電極210,而非如第1圖連接至上電極212。The upper electrode 212 is used to generate an electrostatic force holding the wafer 208, and the upper electrode 212 is charged by applying a voltage from an external power supply 222 to the lower electrode 210 through an electrical connector or rod 220 passing through the base plate and the disc. The bottom electrode 212 may also be coupled to an external RF (radio frequency) power generator 224. As shown, the connector rod 260 is directly connected to the lower electrode 210 instead of the upper electrode 212 as shown in FIG. 1.

使用一系列的栓釘214將下電極210電性連接至上電極212,栓釘214連接於上電極與下電極之間,以使上電極與下電極承載相同的電壓電位與RF功率。如第1圖範例,使用栓釘214連接的電極210、212,能夠由大法拉第籠的方式防止圓盤中的氦點燃與其他靜電放電效應。A series of pegs 214 are used to electrically connect the lower electrode 210 to the upper electrode 212, and the pegs 214 are connected between the upper and lower electrodes so that the upper and lower electrodes carry the same voltage potential and RF power. As shown in the example in FIG. 1, the electrodes 210 and 212 connected by the pegs 214 can prevent the ignition of helium in the disc and other electrostatic discharge effects by means of a large Faraday cage.

第5圖為具有雙網格的第1圖吸盤的變異的截面側視圖。ESC具有冷卻板202,以及由介電黏著層20附接的圓盤206。圓盤固持工件208,且穿過吸盤基底板202與頂板206的各種管或孔230、232提供穿過圓盤至晶圓背側的存取。Fig. 5 is a modified cross-sectional side view of the suction cup of Fig. 1 having a double grid. The ESC has a cooling plate 202 and a disk 206 attached by a dielectric adhesive layer 20. The disc holds the workpiece 208, and various tubes or holes 230, 232 passing through the chuck base plate 202 and the top plate 206 provide access through the disc to the back side of the wafer.

在此範例中,具有至圓盤中上電極212的第一連接器或桿220,以及至圓盤中下電極的第二連接器或桿262。這些桿皆施加來自相同外部電源供應器222與可選的外部RF(射頻)電源供應器224的電壓。兩個桿直接將兩個電極連接至相同電源供應器,然而,可可選地使用不同的電源供應器。In this example, there is a first connector or rod 220 to the upper electrode 212 in the disc, and a second connector or rod 262 to the lower electrode in the disc. These poles all apply voltage from the same external power supply 222 and an optional external RF (radio frequency) power supply 224. The two poles directly connect the two electrodes to the same power supply, however, different power supplies may optionally be used.

此外,使用連接在上下電極之間的一系列的栓釘214,將下電極210可選地電性連接至上電極212。如第1圖範例,連接的電極210、212形成大法拉第籠。雖然第4圖與第5圖的範例使用第1圖的對電極的電源連結,但可替代使用第2圖的連結。In addition, the lower electrode 210 is optionally electrically connected to the upper electrode 212 using a series of pegs 214 connected between the upper and lower electrodes. As in the example of Figure 1, the connected electrodes 210, 212 form a large Faraday cage. Although the examples of FIGS. 4 and 5 use the power supply connection of the counter electrode of FIG. 1, the connection of FIG. 2 may be used instead.

第6圖為將上電極212連接至電源供應器的電連接器220的範例的側截面圖。桿延伸穿過下電極中的開口(未圖示)。桿具有上部分240與較長的下部分242,上部分240與電極接觸並由高電阻係數材料製成,下部分242由低電阻係數材料製成(諸如鋁或銅)。上部分可由多種材料之任意者製成,諸如混合(或摻雜)了氧化鋁粉末的鋁。氧化鋁粉末粒子的濃度決定桿的電阻係數。高電阻係數材料可具有一千歐姆或更高的電阻值,而低電阻係數部分可具有少於一千歐姆的電阻值。FIG. 6 is a side sectional view of an example of the electrical connector 220 connecting the upper electrode 212 to the power supply. The rod extends through an opening (not shown) in the lower electrode. The rod has an upper portion 240 and a longer lower portion 242, the upper portion 240 is in contact with the electrode and is made of a high-resistivity material, and the lower portion 242 is made of a low-resistance material (such as aluminum or copper). The upper portion may be made of any of a variety of materials, such as aluminum mixed (or doped) with alumina powder. The concentration of alumina powder particles determines the resistivity of the rod. The high-resistivity material may have a resistance value of one thousand ohms or more, and the low-resistance portion may have a resistance value of less than one thousand ohms.

桿的高電阻係數部分用於限制電流流動,特定而言為通過桿的大電流突波。在使用中,上下電極可具有由腔室內電漿功率所引發的高度電荷。藉由限制電流流動,限制流動通過桿至電源供應器的電流。這保護了電源供應器。此外,電極上引發的電荷更能待在電極上,而非流動通過桿且在桿連接電極處產生熱點。在足夠的電流下桿可過熱,加熱圓盤並可能摧毀圓盤。使用氧化鋁陶瓷圓盤時,過多的熱將使得圓盤陶瓷裂開。The high-resistance part of the rod is used to limit the current flow, specifically a large current surge through the rod. In use, the upper and lower electrodes may have a high charge induced by the plasma power in the chamber. By limiting the current flow, the current flowing through the rod to the power supply is limited. This protects the power supply. In addition, the charge induced on the electrode is more able to stay on the electrode, rather than flowing through the rod and generating a hot spot where the rod is connected to the electrode. With sufficient current, the rod can overheat, heating the disc and possibly destroying the disc. When using alumina ceramic discs, excessive heat will cause the disc ceramics to crack.

第7圖為第1圖圓盤206的部分透明俯視圖。圓盤具有下電極210與上電極212,下電極210的尺寸稍微大於所要固持的晶圓,上電極212的尺寸幾乎與所要固持的晶圓相同。電極位於圓盤的介電材料內,介電材料被圖示為透明的以讓電極為可見。上電極212與下電極210的形式可為小型線網格、塗層或實心板,本文對於上電極212與下電極210的指代將參照這些名詞。FIG. 7 is a partially transparent plan view of the disk 206 of FIG. 1. The disk has a lower electrode 210 and an upper electrode 212. The size of the lower electrode 210 is slightly larger than the wafer to be held, and the size of the upper electrode 212 is almost the same as the wafer to be held. The electrodes are located within the dielectric material of the disc, and the dielectric material is illustrated as transparent to make the electrodes visible. The form of the upper electrode 212 and the lower electrode 210 may be a small line grid, a coating, or a solid plate. The reference to the upper electrode 212 and the lower electrode 210 in this document will refer to these terms.

電極電性連接了一系列的連接器栓釘214。這些栓釘被圖示為環繞上電極的周邊範圍,且恰於下電極的內側連接。栓釘可被嵌入並形成於圓盤中,或可由實心或空心的導電材料形成且隨後隨著圓盤材料固化而固定放置。栓釘可由相等或不相等的間距環繞上電極的周邊範圍。栓釘與彼此足夠接近而形成法拉第籠,以抵抗在電漿製程中處理腔室中所預期的預期RF能量。除了電極以外,存在中央氣孔230與升舉銷孔232。可存在額外的孔與其他結構,以執行其他功能。如第1圖,未圖示加熱器、冷卻通道、電漿製程結構以及其他部件,以避免遮蔽圖式。The electrodes are electrically connected to a series of connector pins 214. These pegs are illustrated as surrounding the perimeter of the upper electrode and connected just inside the lower electrode. The peg may be embedded and formed in the disc, or it may be formed of a solid or hollow conductive material and then fixed as the disc material cures. The pegs may surround the periphery of the upper electrode with equal or unequal spacing. The pegs are close enough to each other to form a Faraday cage to resist the expected RF energy expected in the processing chamber during the plasma process. In addition to the electrodes, there are a central air hole 230 and a lift pin hole 232. There may be additional holes and other structures to perform other functions. As shown in Figure 1, heaters, cooling channels, plasma process structures, and other components are not shown to avoid obscuring the pattern.

如圖示,孔230、232位於上下電極的周邊內。這使得這些孔大抵位於法拉第籠內(如前述)並受保護而不受外部電壓、電荷與其他高能量電漿所造成的情況的影響。As shown, the holes 230, 232 are located within the periphery of the upper and lower electrodes. This keeps these holes in the Faraday cage (as mentioned above) and protected from external voltages, charges, and other conditions caused by high-energy plasma.

本說明書揭示了諸多細節,然而在本發明領域中具有通常知識者將顯然明瞭到,本發明的實施可無需這些特定的細節。在一些實例中,由方塊圖形式圖示習知方法與裝置而非其細節,以避免遮蔽本發明。本說明書全文中對於「具體實施例」或「一個具體實施例」的參照,表示與此具體實施例連同說明的特定特徵、結構、功能或特性,係包含在發明的至少一個具體實施例中。因此,在說明書全文段落中的詞語「在一具體實施例中」或「在一個具體實施例」,並非必需指代發明的相同具體實施例。再者,這些特定特徵、結構、功能或特性,可被由任何適合的方式結合於一或更多個具體實施例中。例如,第一具體實施例可被與第二具體實施例結合,而相關聯於此二具體實施例的特定特徵、結構、功能或特性並不會互斥。This specification discloses many details, but it will be apparent to those having ordinary knowledge in the field of the present invention that the present invention may be practiced without these specific details. In some instances, conventional methods and devices are illustrated in block diagram form, rather than in detail, to avoid obscuring the invention. References to "a specific embodiment" or "a specific embodiment" throughout this specification indicate that a particular feature, structure, function, or characteristic described with this specific embodiment is included in at least one specific embodiment of the invention. Therefore, the words "in a specific embodiment" or "in a specific embodiment" in the paragraphs throughout the specification do not necessarily refer to the same specific embodiment of the invention. Furthermore, the particular features, structures, functions, or characteristics may be combined in any suitable manner in one or more specific embodiments. For example, the first specific embodiment may be combined with the second specific embodiment, and specific features, structures, functions, or characteristics associated with the two specific embodiments are not mutually exclusive.

第8圖為用於提供吸附電流至電極的具有加強桿的靜電吸盤的側截面圖。所說明的導電桿可調適以用於任何其他的吸盤範例。如其他示意圖所示,基底板502支撐頂板506,頂板506使用上電極512上的電荷靜電抓持工件,諸如矽晶圓。桿520將電流傳導通過基底板至電極512,以建立並散逸電荷。下電極510透過垂直栓釘514陣列耦合至上電極。如上文所提及,由於栓釘,桿可連接至上電極、下電極、或同時連接上下電極兩者。電極與栓釘嵌入頂板內,頂板通常(但非必需)為陶瓷。FIG. 8 is a side cross-sectional view of an electrostatic chuck having a reinforcing rod for supplying a suction current to an electrode. The illustrated conductive rod is adaptable for any other suction cup example. As shown in the other schematic diagrams, the base plate 502 supports a top plate 506 that uses a charge on the upper electrode 512 to electrostatically grip a workpiece, such as a silicon wafer. The rod 520 conducts current through the base plate to the electrode 512 to establish and dissipate a charge. The lower electrode 510 is coupled to the upper electrode through an array of vertical pegs 514. As mentioned above, due to the peg, the rod can be connected to the upper electrode, the lower electrode, or both the upper and lower electrodes. The electrodes and studs are embedded in the top plate, which is usually (but not necessarily) ceramic.

基底板為熱傳導性,並可據有冷卻通道、加熱器以及其他熱性控制元件。常見的熱傳導性材料也具有電傳導性,而鋁為用於基底板的常見材料。相對的,頂板為介電性以允許頂板維持靜電電荷,且因此通常也具有非常低的熱導率。這藉由將頂板製為非常薄來補償。The base plate is thermally conductive and can have cooling channels, heaters, and other thermal control elements. Common thermally conductive materials are also electrically conductive, while aluminum is a common material used for substrate boards. In contrast, the top plate is dielectric to allow the top plate to maintain an electrostatic charge, and therefore generally also has a very low thermal conductivity. This is compensated by making the top plate very thin.

由於這些電性特性,在吸盤被放置在RF電漿中時,RF電流傾向流動跨過導電基底板的表面,並通過頂板而至上電極與下電極。此圖示為從基底板表面開始行進通過頂板至下電極的箭頭。由於電極被電性連接,RF電流自由流動通過兩個電極。RF電流傾向集中在網格連接桿的點522處。累積的量取決於電漿的阻抗以及桿的阻抗。桿為導電性,並傳導電流至所連接的電源供應器,因此RF電流傾向從桿往下流向電源供應器,如箭頭所圖示。在桿亦供應RF電流至電極時,RF電流的濃度提升。高RF電流濃度可使得頂板內產生電弧,或使得頂板與工件之間產生電弧。Because of these electrical characteristics, when the chuck is placed in an RF plasma, RF current tends to flow across the surface of the conductive substrate plate and through the top plate to the upper and lower electrodes. This illustration is an arrow traveling from the surface of the base plate through the top plate to the lower electrode. Since the electrodes are electrically connected, RF current flows freely through the two electrodes. RF current tends to be concentrated at point 522 of the grid connecting rod. The accumulated amount depends on the impedance of the plasma and the impedance of the rod. The rod is conductive and conducts current to the connected power supply, so RF current tends to flow down from the rod to the power supply, as shown by the arrow. When the rod also supplies RF current to the electrode, the concentration of the RF current increases. High RF current concentrations can cause arcing within the top plate, or arcing between the top plate and the workpiece.

如圖示的加強桿520包含了電感器524,電感器524圍繞或耦合至行進通過冷卻板502的部分。如本文的其他範例,電感器與桿以電絕緣體526與導電性基底板電性絕緣,電絕緣體526位於桿延伸通過的孔之內。The reinforced rod 520 as shown includes an inductor 524 that surrounds or couples to a portion traveling through the cooling plate 502. As in other examples herein, the inductor and the rod are electrically insulated from the conductive substrate by an electrical insulator 526, which is located within the hole through which the rod extends.

電感器524可為各種不同形式之任意者,諸如線圈、圓柱體、或磁珠。可基於RF電漿的預期頻率,來選擇電感值。電感器扼流或阻擋所施加的RF電漿所產生的RF電流。此效應由終止於電感器524的箭頭所圖示。扼流效應防止RF電流集中在桿與電極的連接點處。若RF電流被如第4圖與第5圖從電源供應器施加至電極,則電感值亦被選擇為允許所供應的功率到達電極,同時亦阻擋電漿產生的RF電流。The inductor 524 may be in any of a variety of different forms, such as a coil, a cylinder, or a magnetic bead. The inductance value can be selected based on the expected frequency of the RF plasma. The inductor chokes or blocks the RF current generated by the applied RF plasma. This effect is illustrated by the arrow terminating at the inductor 524. The choke effect prevents the RF current from being concentrated at the connection point of the rod to the electrode. If the RF current is applied to the electrode from the power supply as shown in Figures 4 and 5, the inductance value is also selected to allow the supplied power to reach the electrode, while also blocking the RF current generated by the plasma.

若RF功率亦被施加至吸附電極512,則非常難以選擇阻擋電漿產生的RF電流流入桿,同時又允許施加至電極的RF功率流動通過電極至晶圓的電感值。此係因為電漿與所施加的RF功率傾向為類似。桿上的電感器亦將產生阻抗值,此阻抗值直接相關於試圖通過電感器的頻率。對於線圈電感器而言,阻抗值由2π×頻率×電感值來界定。If RF power is also applied to the adsorption electrode 512, it is very difficult to choose to block the RF current generated by the plasma from flowing into the rod, while allowing the RF power applied to the electrode to flow through the inductance value of the electrode to the wafer. This is because the plasma tends to be similar to the RF power applied. The inductor on the pole will also produce an impedance value, which is directly related to the frequency of the inductor trying to pass. For a coil inductor, the impedance value is defined by 2π × frequency × inductance value.

第9圖為根據本文所述具體實施例的電漿系統100的部分截面圖,電漿系統100具有底座或吸盤128。底座128具有主動冷卻系統,在放置於底座上的基板經受多種製程與腔室條件的同時,主動冷卻系統允許主動控制基板的溫度於廣溫度範圍中。電漿系統100包含處理腔室主體102,處理腔室主體102具有界定處理區域120的側壁112與底壁116。FIG. 9 is a partial cross-sectional view of a plasma system 100 having a base or suction cup 128 according to a specific embodiment described herein. The base 128 has an active cooling system. While the substrate placed on the base is subjected to various processes and chamber conditions, the active cooling system allows the temperature of the substrate to be actively controlled in a wide temperature range. The plasma system 100 includes a processing chamber body 102 having a side wall 112 and a bottom wall 116 that define a processing area 120.

透過形成於系統100中的底壁116中的通道122,將底座、承載器、吸盤或ESC 128放置在處理區域120中。底座128經調適以在底座128的上表面上支撐基板(未圖示)。基板可為對於腔室100所施加之處理製程的各種不同工件之任意者,且由各種不同材料之任意者製成。底座128可可選地包含加熱元件(未圖示),例如電阻性元件,以加熱並控制基板溫度於所需的製程溫度。或者,可由遠端加熱元件(諸如燈具組件)加熱底座128。A base, carrier, suction cup, or ESC 128 is placed in the processing area 120 through a channel 122 formed in a bottom wall 116 in the system 100. The base 128 is adapted to support a substrate (not shown) on the upper surface of the base 128. The substrate may be any of a variety of different workpieces for the processing process applied to the chamber 100, and is made of any of a variety of different materials. The base 128 may optionally include a heating element (not shown), such as a resistive element, to heat and control the substrate temperature to a desired process temperature. Alternatively, the base 128 may be heated by a remote heating element, such as a lamp assembly.

吸盤亦包含連接的上電極與下電極(未圖示),上電極與下電極嵌入吸盤內以將晶圓(未圖示)固持至吸盤頂表面。吸盤包含上板與基底板,此更詳細圖示於第1圖。The chuck also includes a connected upper electrode and a lower electrode (not shown). The upper electrode and the lower electrode are embedded in the chuck to hold the wafer (not shown) to the top surface of the chuck. The suction cup includes an upper plate and a base plate, which is shown in more detail in FIG. 1.

以軸126將底座128耦合至電源插座或電源盒103,電源插座或電源盒103可包含控制底座128在處理區域120內的升降與移動的驅動系統。軸126亦包含電源介面以提供電源至底座128。電源盒103亦包含用於電源與溫度指示器的介面,諸如熱耦介面。軸126亦包含基座組件129,基座組件129經調適以可分離地耦合至電源盒103。電源盒103上圖示有圓周環135。在一個具體實施例中,圓周環135為經調適為機械性止動或區域的肩部,此肩部經配置以提供基座組件129與電源盒103上表面之間的機械介面。The base 128 is coupled to the power socket or the power box 103 with a shaft 126. The power socket or the power box 103 may include a driving system that controls the lifting and moving of the base 128 in the processing area 120. The shaft 126 also includes a power interface to provide power to the base 128. The power supply box 103 also includes an interface for a power source and a temperature indicator, such as a thermocouple interface. The shaft 126 also includes a base assembly 129 adapted to be detachably coupled to the power box 103. The power supply box 103 is shown with a circumferential ring 135. In a specific embodiment, the circumferential ring 135 is a shoulder adapted to be a mechanical stop or region, and this shoulder is configured to provide a mechanical interface between the base assembly 129 and the upper surface of the power box 103.

穿過形成於底壁116中的通道124放置桿130,桿130用於啟動放置穿過底座128的基板升舉銷161。基板升舉銷161將工件舉離底座頂表面,以允許移除工件並將工件移入與移出腔室,此通常使用穿過基板移送通口160的機器人(未圖示)。A rod 130 is placed through the channel 124 formed in the bottom wall 116, and the rod 130 is used to activate the substrate lifting pin 161 placed through the base 128. The substrate lift pin 161 lifts the workpiece off the top surface of the base to allow the workpiece to be removed and moved into and out of the chamber. This usually uses a robot (not shown) that passes through the substrate transfer port 160.

將腔室蓋104耦合至腔室主體102的頂部。蓋104容納耦合至蓋104的一或更多個氣體分配系統108。氣體分配系統108包含氣體入口通道140,氣體入口通道140透過噴淋頭組件142將反應劑與清洗氣體傳遞入處理腔室120B中。噴淋頭組件142包含環形基底板148,環形基底板148具有阻隔板144,阻隔板144介於環形基底板148與面板146中間。The chamber cover 104 is coupled to the top of the chamber body 102. The cover 104 houses one or more gas distribution systems 108 coupled to the cover 104. The gas distribution system 108 includes a gas inlet channel 140, and the gas inlet channel 140 transmits the reactant and the cleaning gas into the processing chamber 120B through the shower head assembly 142. The showerhead assembly 142 includes a ring-shaped base plate 148, and the ring-shaped base plate 148 has a blocking plate 144 which is interposed between the ring-shaped base plate 148 and the panel 146.

將射頻(RF)源165耦合至噴淋頭組件142。RF源165對噴淋頭組件142供電,以協助在噴淋頭組件142的面板146與加熱底座128之間產生電漿。在一個具體實施例中,RF源165可為高頻射頻(HFRF)功率源,諸如13.56 MHz RF產生器。在另一具體實施例中,RF源165可包含HFRF功率源與低頻射頻(LFRF)功率源,諸如300 kHz RF產生器。或者,RF源可耦合至處理腔室主體102的其他部分(諸如底座128)以協助產生電漿。在蓋104與噴淋頭組件142之間放置介電隔離器158,以防止傳導RF功率至蓋104。可在底座128的周邊上放置遮蔽環106,遮蔽環106在所需的底座128高度處接合基板。A radio frequency (RF) source 165 is coupled to the showerhead assembly 142. The RF source 165 powers the showerhead assembly 142 to assist in generating a plasma between the panel 146 of the showerhead assembly 142 and the heating base 128. In a specific embodiment, the RF source 165 may be a high frequency radio frequency (HFRF) power source, such as a 13.56 MHz RF generator. In another specific embodiment, the RF source 165 may include a HFRF power source and a low frequency radio frequency (LFRF) power source, such as a 300 kHz RF generator. Alternatively, an RF source may be coupled to other parts of the processing chamber body 102 (such as the base 128) to assist in generating the plasma. A dielectric isolator 158 is placed between the cover 104 and the showerhead assembly 142 to prevent conducting RF power to the cover 104. A shielding ring 106 can be placed on the periphery of the base 128, and the shielding ring 106 engages the substrate at the desired height of the base 128.

可選的,在氣體分配系統108的環形基底板148中形成冷卻通道147,以在操作期間內冷卻環形基底板148。冷卻通道147可循環通過熱傳輸流體,諸如水、乙二醇、氣體或類似者,以將基底板148維持在預先界定的溫度。Optionally, a cooling channel 147 is formed in the annular base plate 148 of the gas distribution system 108 to cool the annular base plate 148 during operation. The cooling channel 147 may be circulated through a heat transfer fluid, such as water, glycol, gas, or the like, to maintain the base plate 148 at a pre-defined temperature.

腔室襯墊組件127被放置在處理區域120內且非常靠近腔室主體102的側壁101、112,以防止側壁101、112暴露至處理區域120內的處理環境。襯墊組件127包含耦合至幫浦系統164的圓周幫浦空腔125,圓周幫浦空腔125經配置以將氣體與副產品從處理區域120排出,並控制處理區域120內的壓力。可在腔室襯墊組件127上形成複數個排氣通口131。排氣通口131經配置以允許氣體從處理區域120流至圓周幫浦空腔125,並幫助系統100內的處理製程。The chamber pad assembly 127 is placed in the processing area 120 and is very close to the side walls 101, 112 of the chamber body 102 to prevent the side walls 101, 112 from being exposed to the processing environment in the processing area 120. The gasket assembly 127 includes a circumferential pump cavity 125 coupled to the pump system 164, the circumferential pump cavity 125 being configured to discharge gases and byproducts from the processing region 120 and control the pressure within the processing region 120. A plurality of exhaust ports 131 may be formed on the chamber gasket assembly 127. The exhaust vent 131 is configured to allow gas to flow from the processing area 120 to the circumferential pump cavity 125 and to assist the processing process within the system 100.

系統控制器170耦合至各種不同系統,以控制腔室中的製造製程。控制器170可包含溫度控制器175以執行溫度控制演算法(例如溫度回饋控制),並可為軟體、硬體、或軟體與硬體之結合。系統控制器170亦包含中央處理單元172、記憶體173以及輸入/輸出介面174。溫度控制器從底座上的感測器(未圖示)接收溫度讀數143。溫度感測器可接近冷卻劑通道、接近晶圓、或放置在底座的介電材料中。溫度控制器175使用感測到的一或多個溫度輸出控制訊號,以影響底座組件142與加熱源及(或)電漿腔室105外部的散熱器(諸如熱交換器177)之間的熱傳輸率。The system controller 170 is coupled to various systems to control the manufacturing processes in the chamber. The controller 170 may include a temperature controller 175 to execute a temperature control algorithm (such as temperature feedback control), and may be software, hardware, or a combination of software and hardware. The system controller 170 also includes a central processing unit 172, a memory 173, and an input / output interface 174. The temperature controller receives a temperature reading 143 from a sensor (not shown) on the base. The temperature sensor may be close to the coolant channel, close to the wafer, or placed in a dielectric material of the base. The temperature controller 175 uses the sensed one or more temperature output control signals to affect the heat between the base assembly 142 and the heat source and / or a radiator (such as the heat exchanger 177) outside the plasma chamber 105. Transmission rate.

系統亦可包含受控制的熱傳輸流體迴路141,基於溫度回饋迴路控制熱傳輸流體迴路141的流動。在範例具體實施例中,溫度控制器175耦合至熱交換器(HTX)/冷卻器177。熱傳輸流體流動通過閥(未圖示),由受閥控制的速率通過熱傳輸流體迴路141。閥可被併入熱交換器,或熱交換器內側或外側的幫浦中,以控制熱流體的流動速率。熱傳輸流體流動通過底座組件142中的導管,且隨後返回HTX 177。HTX提升或降低熱傳輸流體的溫度,隨後流體通過迴路返回底座組件。The system may also include a controlled heat transfer fluid circuit 141 that controls the flow of the heat transfer fluid circuit 141 based on the temperature feedback circuit. In an exemplary embodiment, the temperature controller 175 is coupled to a heat exchanger (HTX) / cooler 177. The heat transfer fluid flows through a valve (not shown) and passes through the heat transfer fluid circuit 141 at a rate controlled by the valve. Valves can be incorporated into heat exchangers, or pumps inside or outside the heat exchanger to control the flow rate of the hot fluid. The heat transfer fluid flows through a conduit in the base assembly 142 and then returns to the HTX 177. HTX raises or lowers the temperature of the heat transfer fluid, and the fluid then returns to the base assembly through the circuit.

HTX包含加熱器186以加熱熱傳輸流體,從而加熱基板。加熱器可使用電阻線圈圍繞熱交換器內(或隨著熱交換器)的管來形成,其中加熱流體透過交換器傳導熱至包含熱流體的導管。HTX亦包含冷卻器188,冷卻器188從熱流體吸取熱。這可由使用輻射器將熱丟入環境空氣中或冷卻流體中來完成,或可由任何各種其他方式來完成。可結合加熱器與冷卻器,使得溫度控制流體被首先加熱或冷卻,且隨後控制流體的熱被與熱傳輸流體迴路中的熱流體的熱交換。The HTX includes a heater 186 to heat a heat transfer fluid, thereby heating the substrate. The heater may be formed using a resistance coil around a tube within the heat exchanger (or with the heat exchanger), where the heating fluid conducts heat through the exchanger to a conduit containing the hot fluid. The HTX also includes a cooler 188 that draws heat from the hot fluid. This can be accomplished by using a radiator to throw heat into ambient air or a cooling fluid, or by any of a variety of other means. The heater and cooler may be combined such that the temperature control fluid is first heated or cooled, and then the heat of the control fluid is exchanged with the heat fluid in the heat transfer fluid circuit.

溫度控制器175可控制HTX 177與底座組件142中的流體導管之間的閥(或其他流動控制裝置),以控制熱傳輸流體流至流體迴路的速率。溫度控制器175、溫度感測器、以及閥,可被結合以簡化建置與操作程序。在多個具體實施例中,在熱傳輸流體從流體導管返回後,熱交換器感測熱傳輸流體的溫度,並基於流體溫度與腔室102操作狀態所需的溫度加熱或冷卻熱傳輸流體。The temperature controller 175 may control a valve (or other flow control device) between the HTX 177 and the fluid conduit in the base assembly 142 to control the rate of heat transfer fluid flow to the fluid circuit. The temperature controller 175, temperature sensor, and valve can be combined to simplify setup and operation procedures. In various embodiments, after the heat transfer fluid returns from the fluid conduit, the heat exchanger senses the temperature of the heat transfer fluid and heats or cools the heat transfer fluid based on the temperature of the fluid and the temperature required for the operating state of the chamber 102.

亦可在底座組件中使用電加熱器(未圖示)以將熱施加至底座組件。電加熱器(形式通常為電阻性元件)耦合至電源供應器179,電源供應器179由溫度控制系統175控制,以充能加熱器元件而獲得所需溫度。An electric heater (not shown) can also be used in the base assembly to apply heat to the base assembly. An electric heater (typically in the form of a resistive element) is coupled to a power supply 179, which is controlled by a temperature control system 175 to recharge the heater element to obtain the desired temperature.

熱傳輸流體可為液體,諸如(但不限於)去離子水/乙二醇、氟化冷却劑(諸如來自3M的Fluorinert®或来自Solvay Solexis公司的Galden®)、或任何其他適合的介電流體(諸如包含全氟化惰性聚醚的介電流體)。雖然本說明書在PECVD處理腔室的背景內容下說明底座,但本文所述底座可被使用在各種不同的腔室中並可用於各種不同的製程。The heat transfer fluid may be a liquid such as (but not limited to) deionized water / glycol, a fluorinated coolant (such as Fluorinert® from 3M or Galden® from Solvay Solexis), or any other suitable dielectric fluid (Such as a dielectric fluid containing a perfluorinated inert polyether). Although this specification describes a pedestal in the context of a PECVD processing chamber, the pedestals described herein can be used in a variety of different chambers and can be used in a variety of different processes.

背側氣體源178(諸如加壓氣體供應器或幫浦以及氣體貯槽)被透過質量流率計185或其他類型的閥耦合至吸盤組件142。背側氣體可為氦、氩、或提供晶圓與圓盤之間的熱對流而不影響腔室製程的氣體。受到連接至系統的系統控制器170的控制,氣體源透過更詳細說明於下文的底座組件氣體出口將氣體泵送至晶圓背側。A backside gas source 178, such as a pressurized gas supply or pump and a gas storage tank, is coupled to the suction cup assembly 142 through a mass flow meter 185 or other type of valve. The backside gas can be helium, argon, or a gas that provides thermal convection between the wafer and the disk without affecting the chamber process. Controlled by a system controller 170 connected to the system, the gas source pumps the gas to the backside of the wafer through the base assembly gas outlet described in more detail below.

處理系統100亦可包含未特定圖示於第7圖中的其他系統,諸如電漿源、真空幫浦系統、存取門、微型加工、雷射系統、以及自動化處理系統等等。所圖示說明的腔室被提供以作為範例,且各種其他腔室之任意者可被與本發明使用,此係取決於工件與所需製程的本質。所說明的底座與熱流體控制系統可經調適,以與不同的實體腔室與製程使用。The processing system 100 may also include other systems not specifically shown in FIG. 7, such as a plasma source, a vacuum pump system, an access door, a micro-machining, a laser system, and an automated processing system. The illustrated chamber is provided as an example, and any of a variety of other chambers can be used with the present invention, depending on the nature of the workpiece and the desired process. The illustrated base and thermal fluid control system can be adapted for use with different solid chambers and processes.

在本說明書與附加申請專利範圍中使用的單數形式「一(a)」、「一(an)」、「該」,也意為包含複數形式,除非背景內容清楚說明並非如此。亦將瞭解到,本文使用的用詞「及(或)」,代表且包含相關聯的所列出的物件的一或更多者的任何及所有可能的結合。The use of the singular forms "a", "an", and "the" in this specification and the scope of the appended patents are intended to include the plural forms, unless the context clearly indicates otherwise. It will also be understood that the term "and / or" as used herein represents and includes any and all possible combinations of one or more of the associated listed items.

用詞「耦合」與「連接」,以及他們的衍生用詞,可被使用在本文中以說明部件之間的功能性或結構性關係。應瞭解到,這些用詞並非意圖作為對於彼此的同義字。相對的,在特定具體實施例中,「連接」可用於指示兩個或兩個以上元件直接實體地、光學地或電性地接觸彼此。「耦合」可用於指示兩個或兩個以上元件直接或間接(即其間具有其他中介元件)實體地、光學地或電性地接觸彼此,及(或)此兩個或兩個以上元件彼此共同操作或與彼此互動(例如在一種因果關係中)。The terms "coupled" and "connected," as well as their derivatives, can be used herein to describe functional or structural relationships between components. It should be understood that these terms are not intended as synonyms for each other. In contrast, in a specific embodiment, “connected” may be used to indicate that two or more elements are in direct physical, optical, or electrical contact with each other. "Coupled" can be used to indicate that two or more elements are in direct, indirect (ie, with other intervening elements) contacting each other physically, optically, or electrically, and / or that the two or more elements are common Manipulate or interact with each other (for example, in a causal relationship).

本文中使用的用詞「上方(over)」、「下方(under)」、「之間(between)」及「上(on)」,代表一個部件或材料層相對於其他部件或層的相對位置,在此種實體關係值得討論時。例如在材料層的背景內容中,放置在另一層上方或下方的一個層,可直接接觸此另一層或可具有一或更多個中介層。再者,放置在兩個層之間的一個層,可直接接觸此兩個層,或可具有一或更多個中介層。相對的,在第二層「上」的第一層係與此第二層直接接觸。欲在部件組件的背景內容中作成類似的分異。The terms "over", "under", "between" and "on" as used herein refer to the relative position of one component or material layer relative to other components or layers When such entity relationships are worthy of discussion. For example, in the background content of a material layer, a layer placed above or below another layer may directly contact this other layer or may have one or more intervening layers. Furthermore, a layer placed between two layers may directly contact the two layers, or may have one or more intervening layers. In contrast, the first layer "on" the second layer is in direct contact with this second layer. I want to make a similar distinction in the background of the components.

應瞭解到上文說明意為示例性而非為限制性。例如,雖然圖式中的流程圖顯示由本發明的某些具體實施例執行的操作的特定操作次序,但應瞭解到,此種次序並非為必需的(例如,替代性具體實施例可由不同次序、結合某些操作、覆蓋某些操作等等,來執行作業)。再者,在閱讀並瞭解上文說明時,在本發明領域中具有通常知識者將顯然明瞭到許多其他具體實施例。雖然已參照特定示例性具體實施例說明本發明,但應認知到,本發明並不限於所說明的具體實施例,而是可在附加申請專利範圍的精神與範圍內進行修改與變化。因此,本發明的範圍應參照附加申請專利範圍來決定,且包含這些申請專利範圍的完整均等範圍。It should be understood that the above description is intended to be exemplary rather than limiting. For example, although the flowcharts in the figures show a particular order of operations performed by certain embodiments of the invention, it should be understood that this order is not required (for example, alternative embodiments may be in Combine certain operations, override certain operations, etc. to perform a job). Furthermore, upon reading and understanding the above description, many other specific embodiments will be apparent to those having ordinary knowledge in the field of the present invention. Although the present invention has been described with reference to specific exemplary embodiments, it should be recognized that the present invention is not limited to the specific embodiments described, but may be modified and changed within the spirit and scope of the scope of additional patent applications. Therefore, the scope of the present invention should be determined with reference to the scope of additional patent applications, and include the complete and equal scope of these patent application scopes.

雙電極系統的不同具體實施例的範例,包含在頂板中具有雙DC電極的ESC,其中兩個電極間隔開且連接至電源供應器。Examples of different specific embodiments of a two-electrode system include an ESC with dual DC electrodes in a top plate, where the two electrodes are spaced apart and connected to a power supply.

具體實施例包含前述設計,其中由兩個電極靠近圓盤頂表面的上電極產生ESC的靜電力,藉由對上電極施加電壓來充電上電極。A specific embodiment includes the aforementioned design, in which an electrostatic force of ESC is generated by an upper electrode with two electrodes close to the top surface of the disc, and the upper electrode is charged by applying a voltage to the upper electrode.

具體實施例包含前述設計,其中每一電極係由線網格形成。A specific embodiment includes the aforementioned design, wherein each electrode is formed of a line grid.

具體實施例包含前述設計,其中網格為通常為正交交叉線的網。Particular embodiments include the aforementioned design, where the grid is a network of generally orthogonal crossing lines.

具體實施例包含前述設計,包含鋁基底板,鋁基底板連接至RF產生器。A specific embodiment includes the aforementioned design, including an aluminum base plate connected to an RF generator.

具體實施例包含前述設計,其中下電極透過一系列的栓釘電性連接至電壓供應器,該等栓釘附接於上電極與下電極之間且裝載相同的電壓電位。Specific embodiments include the aforementioned design, in which the lower electrode is electrically connected to the voltage supply through a series of studs which are attached between the upper electrode and the lower electrode and carry the same voltage potential.

具體實施例包含前述設計,其中上電極與下電極之間的區域中存在通道、孔及(或)間隙。Specific embodiments include the aforementioned design, wherein there are channels, holes, and / or gaps in the area between the upper electrode and the lower electrode.

具體實施例包含前述設計,其中上電極與下電極之間區域中的任何通道、孔與間隙,將被屏蔽自由上網格與下網格建置的任何電荷。Specific embodiments include the aforementioned design, where any channels, holes, and gaps in the area between the upper and lower electrodes will be shielded from any charges built up by the upper and lower grids.

具體實施例包含前述設計,其中由導電桿將電極連接至電源供應器。Particular embodiments include the aforementioned design, wherein the electrodes are connected to the power supply by a conductive rod.

具體實施例包含前述設計,其中桿具有高電阻係數區段與低電阻係數區段,高電阻係數區段具有例如大於1K歐姆的電阻值且最接近電極,低電阻係數區段具有例如小於1K歐姆的電阻值且最接近電源供應器。The specific embodiment includes the foregoing design, wherein the rod has a high-resistance section and a low-resistance section, the high-resistance section has a resistance value greater than 1K ohm and is closest to the electrode, and the low-resistance section has, for example, less than 1K ohm The resistance value is closest to the power supply.

具體實施例包含前述設計,其中高電阻係數部分係由鋁與氧化鋁粒子混合製成。A specific embodiment includes the aforementioned design, wherein the high-resistivity portion is made of a mixture of aluminum and alumina particles.

具體實施例包含用於執行前述具體實施例之任意者的功能的構件。Specific embodiments include means for performing the functions of any of the foregoing specific embodiments.

具體實施例包含一種用於在電漿處理腔室中處理工件的方法,包含將靜電吸盤的頂板中的電極驅動至第一電壓以抓持工件,第一電極係接近頂板的頂表面,以及將頂板中的第二電極驅動至第一電壓以在頂板內形成法拉第籠。A specific embodiment includes a method for processing a workpiece in a plasma processing chamber, including driving an electrode in a top plate of an electrostatic chuck to a first voltage to hold the workpiece, a first electrode system close to a top surface of the top plate, and A second electrode in the top plate is driven to a first voltage to form a Faraday cage within the top plate.

具體實施例包含前述設計,其中電極亦被驅動至射頻,以偏壓腔室中的電漿。Specific embodiments include the aforementioned design, where the electrodes are also driven to radio frequency to bias the plasma in the chamber.

100‧‧‧電漿系統
102‧‧‧處理腔室主體
103‧‧‧電源插座或電源盒
104‧‧‧腔室蓋
106‧‧‧遮蔽環
108‧‧‧氣體分配系統
112‧‧‧側壁
116‧‧‧底壁
120‧‧‧處理區域
122‧‧‧通道
124‧‧‧通道
125‧‧‧圓周幫浦空腔
126‧‧‧軸
127‧‧‧腔室襯墊組件
128‧‧‧底座
129‧‧‧基座組件
130‧‧‧桿
131‧‧‧排氣通口
135‧‧‧圓周環
140‧‧‧氣體入口通道
141‧‧‧熱傳輸流體迴路
142‧‧‧噴淋頭組件
143‧‧‧溫度讀數
144‧‧‧阻隔板
146‧‧‧阻隔板
147‧‧‧冷卻通道
148‧‧‧環形基底板
158‧‧‧介電隔離器
160‧‧‧移送通口
161‧‧‧基板升舉銷
164‧‧‧幫浦系統
165‧‧‧射頻(RF)源
170‧‧‧系統控制器
172‧‧‧中央處理單元
173‧‧‧記憶體
174‧‧‧輸入/輸出介面
175‧‧‧溫度控制器
177‧‧‧熱交換器
178‧‧‧背側氣體源
179‧‧‧電源供應器
185‧‧‧質量流率計
186‧‧‧加熱器
188‧‧‧冷卻器
202‧‧‧冷卻板
204‧‧‧介電黏著層
206‧‧‧圓盤
208‧‧‧工件
210‧‧‧下電極
212‧‧‧上電極
214‧‧‧栓釘
220‧‧‧桿
222‧‧‧外部電源供應器
224‧‧‧外部RF(射頻)電源供應器
230‧‧‧中央管
232‧‧‧額外孔
240‧‧‧上部分
242‧‧‧下部分
260‧‧‧連接器桿
262‧‧‧桿
302‧‧‧基底板
304‧‧‧介電黏著層
306‧‧‧圓盤
308‧‧‧晶圓
310‧‧‧靜電電極
312‧‧‧靜電電極
314‧‧‧栓釘
320‧‧‧桿
322‧‧‧電壓源
324‧‧‧RF電源供應器
326‧‧‧電連接器
330‧‧‧中央氣孔
332‧‧‧升舉銷孔
402‧‧‧鋁基底板
404‧‧‧黏著層
406‧‧‧陶瓷圓盤
410‧‧‧下網格
412‧‧‧上網格
414‧‧‧栓釘
420‧‧‧桿
422‧‧‧電壓源
424‧‧‧第二RF電源供應器
426‧‧‧電連接器
430‧‧‧中央氣孔
432‧‧‧升舉銷孔
440‧‧‧DC電壓
502‧‧‧基底板
506‧‧‧頂板
510‧‧‧下電極
512‧‧‧上電極
514‧‧‧垂直栓釘
520‧‧‧桿
522‧‧‧網格連接桿的點
524‧‧‧電感器
526‧‧‧電絕緣體
100‧‧‧ Plasma System
102‧‧‧Processing chamber body
103‧‧‧Power socket or power box
104‧‧‧ chamber cover
106‧‧‧shield ring
108‧‧‧Gas distribution system
112‧‧‧ sidewall
116‧‧‧ bottom wall
120‧‧‧ processing area
122‧‧‧channel
124‧‧‧channel
125‧‧‧Circular pump cavity
126‧‧‧axis
127‧‧‧ chamber liner assembly
128‧‧‧base
129‧‧‧base assembly
130‧‧‧ par
131‧‧‧Exhaust port
135‧‧‧Circular ring
140‧‧‧Gas inlet channel
141‧‧‧heat transfer fluid circuit
142‧‧‧Sprinkler head assembly
143‧‧‧Temperature reading
144‧‧‧Barrier
146‧‧‧Barrier
147‧‧‧cooling channel
148‧‧‧ ring base plate
158‧‧‧Dielectric isolator
160‧‧‧ transfer port
161‧‧‧ substrate lifting pin
164‧‧‧Pump system
165‧‧‧RF source
170‧‧‧System Controller
172‧‧‧Central Processing Unit
173‧‧‧Memory
174‧‧‧Input / output interface
175‧‧‧Temperature Controller
177‧‧‧Heat exchanger
178‧‧‧Backside gas source
179‧‧‧ Power Supply
185‧‧‧mass flow rate meter
186‧‧‧heater
188‧‧‧ cooler
202‧‧‧ cooling plate
204‧‧‧ Dielectric Adhesive Layer
206‧‧‧Disc
208‧‧‧Workpiece
210‧‧‧lower electrode
212‧‧‧up electrode
214‧‧‧Stud
220‧‧‧par
222‧‧‧External Power Supply
224‧‧‧External RF (radio frequency) power supply
230‧‧‧ Central tube
232‧‧‧ Extra hole
240‧‧‧ Upper Part
242‧‧‧Next
260‧‧‧ connector rod
262‧‧‧par
302‧‧‧ substrate
304‧‧‧ Dielectric Adhesive Layer
306‧‧‧Disc
308‧‧‧wafer
310‧‧‧electrostatic electrode
312‧‧‧electrostatic electrode
314‧‧‧Stud
320‧‧‧ par
322‧‧‧Voltage source
324‧‧‧RF Power Supply
326‧‧‧electrical connector
330‧‧‧ Central Stomata
332‧‧‧Lift pin hole
402‧‧‧Aluminum base plate
404‧‧‧Adhesive layer
406‧‧‧ceramic disc
410‧‧‧ under grid
412‧‧‧on grid
414‧‧‧Studs
420‧‧‧par
422‧‧‧Voltage source
424‧‧‧Second RF Power Supply
426‧‧‧electrical connector
430‧‧‧ Central Stomata
432‧‧‧Lift pin hole
440‧‧‧DC voltage
502‧‧‧ base plate
506‧‧‧Top plate
510‧‧‧lower electrode
512‧‧‧up electrode
514‧‧‧vertical studs
520‧‧‧par
522‧‧‧point of grid connecting rod
524‧‧‧Inductor
526‧‧‧electric insulator

附加圖式示例圖示本發明的具體實施例(且不作為限制),其中:The additional schematic examples illustrate specific embodiments of the present invention (and are not intended to be limiting), where:

第1圖為根據本發明之具體實施例的具有雙網格電極的靜電吸盤的側截面圖;FIG. 1 is a side cross-sectional view of an electrostatic chuck with a dual grid electrode according to a specific embodiment of the present invention;

第2圖為根據本發明之具體實施例的具有雙網格電極的替代性靜電吸盤的側截面圖;Figure 2 is a side cross-sectional view of an alternative electrostatic chuck with dual grid electrodes according to a specific embodiment of the present invention;

第3圖為根據本發明之具體實施例的具有雙網格電極的又一替代性靜電吸盤的側截面圖;Figure 3 is a side cross-sectional view of yet another alternative electrostatic chuck with dual grid electrodes according to a specific embodiment of the present invention;

第4圖為根據本發明之具體實施例的具有雙網格電極的又一替代性靜電吸盤的側截面圖;Figure 4 is a side cross-sectional view of yet another alternative electrostatic chuck with dual grid electrodes according to a specific embodiment of the present invention;

第5圖為根據本發明之具體實施例的具有雙網格電極的又一替代性靜電吸盤的側截面圖;Figure 5 is a side cross-sectional view of yet another alternative electrostatic chuck with dual grid electrodes according to a specific embodiment of the present invention;

第6圖為根據本發明之具體實施例的第1圖的電連接器的範例的側截面圖;FIG. 6 is a side sectional view of an example of the electrical connector of FIG. 1 according to a specific embodiment of the present invention; FIG.

第7圖為根據本發明之具體實施例的第1圖圓盤的部分透明俯視圖,圖示了各種洞;FIG. 7 is a partially transparent top view of the disc of FIG. 1 according to a specific embodiment of the present invention, illustrating various holes;

第8圖為根據本發明之具體實施例的具有加強桿的靜電吸盤的側截面圖;且FIG. 8 is a side sectional view of an electrostatic chuck with a reinforcing rod according to a specific embodiment of the present invention; and

第9圖為根據本發明之具體實施例的包含工件承載器的電漿蝕刻系統的示意圖。FIG. 9 is a schematic diagram of a plasma etching system including a workpiece carrier according to a specific embodiment of the present invention.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic hosting information (please note in order of hosting institution, date, and number) None

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Information on foreign deposits (please note in order of deposit country, institution, date, and number) None

(請換頁單獨記載) 無(Please change pages to record separately) None

202‧‧‧冷卻板 202‧‧‧ cooling plate

204‧‧‧介電黏著層 204‧‧‧ Dielectric Adhesive Layer

206‧‧‧圓盤 206‧‧‧Disc

208‧‧‧工件 208‧‧‧Workpiece

210‧‧‧下電極 210‧‧‧lower electrode

212‧‧‧上電極 212‧‧‧up electrode

214‧‧‧栓釘 214‧‧‧Stud

220‧‧‧桿 220‧‧‧par

222‧‧‧外部電源供應器 222‧‧‧External Power Supply

224‧‧‧外部RF(射頻)電源供應器 224‧‧‧External RF (Radio Frequency) Power Supply

230‧‧‧中央管 230‧‧‧ Central tube

232‧‧‧額外孔 232‧‧‧ Extra hole

262‧‧‧桿 262‧‧‧par

Claims (20)

一種靜電吸盤,包含: 一基底板; 一頂板; 一第一電極,該第一電極位於該頂板中且鄰接該頂板的該頂表面,以靜電抓持一工件;以及 一第二電極,該第二電極在該頂板中並與該第一電極間隔開,該第一電極與該第二電極耦合至一電源供應器,以靜電充電該第一電極。An electrostatic chuck includes: a base plate; a top plate; a first electrode, the first electrode is located in the top plate and is adjacent to the top surface of the top plate to electrostatically hold a workpiece; and a second electrode, the first electrode Two electrodes are in the top plate and spaced from the first electrode. The first electrode and the second electrode are coupled to a power supply to charge the first electrode with static electricity. 如請求項1所述之吸盤,其中該電源供應器提供一直流電至該第一電極與該第二電極。The sucker according to claim 1, wherein the power supply provides DC power to the first electrode and the second electrode. 如請求項2所述之吸盤,其中該電源供應器進一步提供一交流電至該第一電極,以在該工件上引發一偏壓電壓。The suction cup according to claim 2, wherein the power supply further provides an alternating current to the first electrode to cause a bias voltage on the workpiece. 如請求項1所述之吸盤,其中該基底板係由一介電黏著劑附接至該頂板。The chuck according to claim 1, wherein the base plate is attached to the top plate by a dielectric adhesive. 如請求項1所述之吸盤,該吸盤進一步包含複數個導電栓釘,以將該第一電極電性連接至該第二電極。As described in claim 1, the suction cup further includes a plurality of conductive studs for electrically connecting the first electrode to the second electrode. 如請求項5所述之吸盤,其中該第一電極與該第二電極係由一導電網格形成。The suction cup according to claim 5, wherein the first electrode and the second electrode are formed of a conductive mesh. 如請求項5所述之吸盤,其中該頂板為陶瓷,且該等電極與栓釘係嵌入該陶瓷。The suction cup according to claim 5, wherein the top plate is ceramic, and the electrodes and studs are embedded in the ceramic. 如請求項1所述之吸盤,該吸盤進一步包含一電源供應器,該電源供應器耦合至該基底板以施加一直流電壓至該基底板,該直流電壓的極性相同於施加至該第一電極與該第二電極的一直流電壓的極性。The suction cup according to claim 1, further comprising a power supply coupled to the base plate to apply a DC voltage to the base plate, the DC voltage having the same polarity as the voltage applied to the first electrode. The polarity of the DC voltage with the second electrode. 如請求項1所述之吸盤,其中施加至該基底板的該直流電壓約為施加至該等電極的該直流電壓的一半。The chuck according to claim 1, wherein the DC voltage applied to the base plate is approximately half of the DC voltage applied to the electrodes. 如請求項1所述之吸盤,其中該第一電極與該第二電極藉由耦合至該第二電極的一桿耦接至該電源供應器,且其中複數個導電栓釘將來自該電源供應器的電力從該第二電極電性連接至該第一電極。The sucker according to claim 1, wherein the first electrode and the second electrode are coupled to the power supply through a rod coupled to the second electrode, and wherein a plurality of conductive studs will come from the power supply The power of the device is electrically connected from the second electrode to the first electrode. 如請求項1所述之吸盤,其中該第一電極與該第二電極之至少一者藉由一桿耦合至該電源供應器,該桿具有一高電阻值區段與一低電阻值區段,該高電阻值區段耦合至該電極,該低電阻值區段耦合至該電源供應器。The sucker according to claim 1, wherein at least one of the first electrode and the second electrode is coupled to the power supply through a rod having a high resistance value section and a low resistance value section The high resistance value section is coupled to the electrode, and the low resistance value section is coupled to the power supply. 如請求項1所述之吸盤,其中該第一電極與該第二電極的至少一者藉由一桿耦合至該電源供應器,該桿延伸穿過該基底板,該桿具有在該基底板內的一電感器。The suction cup according to claim 1, wherein at least one of the first electrode and the second electrode is coupled to the power supply through a rod, the rod extends through the base plate, and the rod has a base plate An inductor inside. 如請求項12所述之吸盤,其中該電感器具有一電感值,以扼流所施加的一射頻電漿在該頂板中產生的射頻電流。The sucker according to claim 12, wherein the inductor has an inductance value to choke the RF current generated in the top plate by an applied RF plasma. 如請求項1所述之吸盤,其中該基底板包含冷卻通道以裝載一冷卻劑以冷卻該工件。The suction cup according to claim 1, wherein the base plate includes a cooling channel to load a coolant to cool the workpiece. 如請求項1所述之吸盤,其中該第一電極與該第二電極之間的一區域中的任何通道、孔與間隙,將被屏蔽自由該第一電極與該低電極建置的電荷。The sucker according to claim 1, wherein any channels, holes and gaps in a region between the first electrode and the second electrode will be shielded from the charges established by the first electrode and the low electrode. 一種用於在一電漿處理腔室中處理一工件的方法,包含以下步驟: 驅動第一電極步驟,將一靜電吸盤的一頂板中的一電極驅動至一第一電壓以抓持該工件,該第一電極位於該頂板的一頂表面附近;以及 驅動第二電極步驟,將該頂板中的一第二電極驅動至該第一電壓,以與該頂板內的該第一電極一起形成一法拉第籠。A method for processing a workpiece in a plasma processing chamber includes the following steps: a step of driving a first electrode, driving an electrode in a top plate of an electrostatic chuck to a first voltage to hold the workpiece, The first electrode is located near a top surface of the top plate; and a step of driving a second electrode to drive a second electrode in the top plate to the first voltage to form a Faraday with the first electrode in the top plate. cage. 如請求項16所述之方法,該方法進一步包含以下步驟:將該等電極驅動至一射頻,以偏壓該腔室中的該工件。The method of claim 16 further comprising the steps of: driving the electrodes to a radio frequency to bias the workpiece in the chamber. 一種電漿處理腔室,包含: 一電漿腔室; 一電漿源,用於在該電漿腔室中產生包含氣體離子的一電漿;以及 一靜電吸盤,該靜電吸盤包含一基底板、一頂板、一第一電極與一第二電極,該第一電極在該頂板中鄰接該頂板的該頂表面以靜電抓持一工件,該第二電極在該頂板中與該第一電極間隔開,該第一電極與該第二電極耦合至一電源供應器,以靜電充電該第一電極。A plasma processing chamber includes: a plasma chamber; a plasma source for generating a plasma containing gas ions in the plasma chamber; and an electrostatic chuck including a base plate A top plate, a first electrode, and a second electrode, the first electrode in the top plate is adjacent to the top surface of the top plate to electrostatically hold a workpiece, and the second electrode is spaced from the first electrode in the top plate On, the first electrode and the second electrode are coupled to a power supply, and the first electrode is electrostatically charged. 如請求項18所述之腔室,該承載器進一步包含在該冷卻板下方的一支撐板,該支撐板經配置以連接至一氣體線,以將加壓的該氣體供應至一冷卻氣孔。The chamber of claim 18, the carrier further comprising a support plate below the cooling plate, the support plate being configured to be connected to a gas line to supply the pressurized gas to a cooling air hole. 如請求項18或19所述之腔室,其中該第一電極與該第二電極之至少一者藉由一桿耦合至該電源供應器,該桿具有一高電阻值區段、一低電阻值區段以及一電感器,該高電阻值區段耦合至該電極,該低電阻值區段耦合至該電源供應器,該電感器位於該基底板內。The chamber according to claim 18 or 19, wherein at least one of the first electrode and the second electrode is coupled to the power supply through a rod having a high resistance value section and a low resistance And an inductor, the high resistance value section is coupled to the electrode, the low resistance value section is coupled to the power supply, and the inductor is located in the substrate.
TW106103433A 2016-06-07 2017-02-02 High power electrostatic chuck design with radio frequency coupling TWI776800B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662346746P 2016-06-07 2016-06-07
US62/346,746 2016-06-07
US201662352667P 2016-06-21 2016-06-21
US62/352,667 2016-06-21
US15/383,035 2016-12-19
US15/383,035 US11532497B2 (en) 2016-06-07 2016-12-19 High power electrostatic chuck design with radio frequency coupling

Publications (2)

Publication Number Publication Date
TW201807770A true TW201807770A (en) 2018-03-01
TWI776800B TWI776800B (en) 2022-09-11

Family

ID=60482465

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106103433A TWI776800B (en) 2016-06-07 2017-02-02 High power electrostatic chuck design with radio frequency coupling

Country Status (6)

Country Link
US (2) US11532497B2 (en)
JP (1) JP7101482B2 (en)
KR (1) KR20190005704A (en)
CN (1) CN107710398B (en)
TW (1) TWI776800B (en)
WO (1) WO2017213715A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102545967B1 (en) * 2017-03-28 2023-06-20 스미토모덴키고교가부시키가이샤 wafer retainer
TWI764008B (en) * 2018-06-19 2022-05-11 美商應用材料股份有限公司 High bias deposition of high quality gapfill
US11367597B2 (en) * 2018-07-05 2022-06-21 Samsung Electronics Co., Ltd. Electrostatic chuck and plasma processing apparatus including the same
JP7153574B2 (en) * 2019-01-17 2022-10-14 東京エレクトロン株式会社 Top electrode structure, plasma processing apparatus, and method of assembling top electrode structure
TWI701751B (en) * 2019-03-12 2020-08-11 力晶積成電子製造股份有限公司 Wafer chuck apparatus , method for measuring wafer bow value and semiconductor manufacturing method
US11587773B2 (en) * 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
CN114245936A (en) * 2019-08-08 2022-03-25 日本碍子株式会社 Member for semiconductor manufacturing apparatus
WO2021041002A1 (en) * 2019-08-26 2021-03-04 Applied Materials, Inc. Semiconductor processing apparatus with improved uniformity

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US6627198B2 (en) 1997-03-13 2003-09-30 Corixa Corporation Fusion proteins of Mycobacterium tuberculosis antigens and their uses
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US5880923A (en) 1997-06-09 1999-03-09 Applied Materials Inc. Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
US6104596A (en) * 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6057244A (en) * 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
US6267839B1 (en) * 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
KR100378187B1 (en) * 2000-11-09 2003-03-29 삼성전자주식회사 A wafer stage including electro-static chuck and method for dechucking wafer using the same
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP4346877B2 (en) 2002-08-29 2009-10-21 東京エレクトロン株式会社 Electrostatic adsorption device and processing device
CN1310285C (en) 2003-05-12 2007-04-11 东京毅力科创株式会社 Processing device
JP4397271B2 (en) 2003-05-12 2010-01-13 東京エレクトロン株式会社 Processing equipment
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
JP5013666B2 (en) 2003-10-17 2012-08-29 ソニー株式会社 Battery pack using exterior film and battery pack manufacturing method
JP4510745B2 (en) * 2005-10-28 2010-07-28 日本碍子株式会社 Bonding structure of ceramic substrate and power supply connector
US7881036B2 (en) * 2005-12-06 2011-02-01 Creative Technology Corporation Electrode sheet for electrostatic chuck, and electrostatic chuck
US7667944B2 (en) * 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
JP2009239012A (en) 2008-03-27 2009-10-15 Tokyo Electron Ltd Plasma processing device and method of plasma etching
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
WO2011000689A1 (en) * 2009-06-30 2011-01-06 Asml Holding N.V. Image-compensating addressable electrostatic chuck system
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5960384B2 (en) 2009-10-26 2016-08-02 新光電気工業株式会社 Electrostatic chuck substrate and electrostatic chuck
JP5466756B2 (en) 2010-03-04 2014-04-09 東京エレクトロン株式会社 Plasma etching method, semiconductor device manufacturing method, and plasma etching apparatus
SG183807A1 (en) 2010-03-26 2012-10-30 Ulvac Inc Substrate holding device
JP6195519B2 (en) * 2010-08-06 2017-09-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Electrostatic chuck and method of using the same
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8520360B2 (en) * 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US9070536B2 (en) 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
US10128118B2 (en) 2012-09-26 2018-11-13 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control
WO2014073554A1 (en) * 2012-11-06 2014-05-15 日本碍子株式会社 Susceptor
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN105917457B (en) 2014-01-22 2019-05-14 株式会社爱发科 Plasma processing apparatus and wafer transfer pallet
EP3158581A1 (en) * 2014-06-17 2017-04-26 Evatec AG Electro-static chuck with radiofrequency shunt
JP6377975B2 (en) 2014-06-23 2018-08-22 新光電気工業株式会社 Substrate fixing device
WO2016009715A1 (en) 2014-07-16 2016-01-21 株式会社Joled Transistor, display device, and electronic apparatus
JP6296299B2 (en) 2014-09-02 2018-03-20 パナソニックIpマネジメント株式会社 Plasma processing apparatus and plasma processing method
US10002782B2 (en) * 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10083853B2 (en) * 2015-10-19 2018-09-25 Lam Research Corporation Electrostatic chuck design for cooling-gas light-up prevention
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes

Also Published As

Publication number Publication date
JP7101482B2 (en) 2022-07-15
JP2019522889A (en) 2019-08-15
US11532497B2 (en) 2022-12-20
WO2017213715A1 (en) 2017-12-14
US20170352567A1 (en) 2017-12-07
US11948826B2 (en) 2024-04-02
KR20190005704A (en) 2019-01-16
TWI776800B (en) 2022-09-11
CN107710398A (en) 2018-02-16
US20230072594A1 (en) 2023-03-09
CN107710398B (en) 2023-06-27

Similar Documents

Publication Publication Date Title
TWI809356B (en) High power electrostatic chuck with aperture-reducing plug in a gas hole, and method and chamber relating thereto
TWI776800B (en) High power electrostatic chuck design with radio frequency coupling
JP6144263B2 (en) Hot plate with planar thermal zone for semiconductor processing
TWI762551B (en) Plasma processing apparatus
KR200479295Y1 (en) A consumable isolation ring for movable substrate support assembly of a plasma processing chamber
TWI721062B (en) Plasma processing method and plasma processing device
JP2010118551A (en) Electrostatic chuck and substrate processing apparatus
JP2018113430A (en) Stress-balanced electrostatic substrate carrier with contact
JP2019220497A (en) Mounting table and plasma processing device
JP2007250860A (en) Plasma processor and electrode assembly therefor
TW202201467A (en) Sheath and temperature control of a process kit in a substrate processing chamber
TWI765892B (en) Workpiece carrier for high power with enhanced edge sealing
JP5654083B2 (en) Electrostatic chuck and substrate processing apparatus
JP2022042379A (en) Mounting platform and plasma processing equipment
TW202226414A (en) High heat loss heater and electrostatic chuck for semiconductor processing
JP2021197548A (en) Edge ring and plasma processing device
TW201306168A (en) Electrostatic chucks, substrate treating apparatuses including the same, and substrate treating methods

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent