JP2019522889A - 高周波結合による高電力静電チャック設計 - Google Patents

高周波結合による高電力静電チャック設計 Download PDF

Info

Publication number
JP2019522889A
JP2019522889A JP2017558663A JP2017558663A JP2019522889A JP 2019522889 A JP2019522889 A JP 2019522889A JP 2017558663 A JP2017558663 A JP 2017558663A JP 2017558663 A JP2017558663 A JP 2017558663A JP 2019522889 A JP2019522889 A JP 2019522889A
Authority
JP
Japan
Prior art keywords
electrode
top plate
chuck
base plate
electrodes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017558663A
Other languages
English (en)
Other versions
JP7101482B2 (ja
Inventor
ジーヨン チョウ
ジーヨン チョウ
ビジャイ ディー パルキー
ビジャイ ディー パルキー
ハイタオ ワン
ハイタオ ワン
ラマスワミー カーティック
カーティック ラマスワミー
チュンレイ ツァン
チュンレイ ツァン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019522889A publication Critical patent/JP2019522889A/ja
Application granted granted Critical
Publication of JP7101482B2 publication Critical patent/JP7101482B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Manipulator (AREA)

Abstract

高電力プラズマ環境での使用に適した高周波結合を有する静電チャックが記載されている。いくつかの例では、チャックは、ベースプレートと、トッププレートと、ワークピースを静電的に把持するためにトッププレートの上面に近接したトッププレート内の第1の電極と、第1の電極から間隔をあけて配置されたトッププレート内の第2の電極とを含み、第1の電極及び第2の電極は、第1の電極を静電的に帯電させるための電源に結合されている。

Description

関連出願との相互参照
本出願は、本願において優先権を主張する、Jaeyong Choらによる「高周波結合による高電力静電チャック設計(HIGH POWER ELECTROSTATIC CHUCK DESIGN WITH RADIO FREQUENCY COUPLING)」と題され、2016年6月21日に出願された先の米国仮出願第62/352,667号、及び本願において優先権を主張する、Jaeyong Choらによる「高周波結合による高電力静電チャック設計(HIGH POWER ELECTROSTATIC CHUCK DESIGN WITH RADIO FREQUENCY COUPLING)」と題され、2016年6月7日に出願された米国仮特許出願第62/346,746号の優先権を主張する。
分野
本明細書は、半導体及びマイクロメカニカル処理用のワークピースを支持するための静電チャックに関し、特にチャック内の電極に関する。
背景
半導体チップの製造では、シリコンウェハ又は他の基板が、異なる処理チャンバ内の様々な異なるプロセスに曝露される。チャンバは、多数の異なる化学的及び物理的プロセスにウェハを曝露させる可能性があり、それによって、基板上に微細集積回路が作製される。集積回路を構成する材料の層は、化学蒸着法、物理蒸着法、エピタキシャル成長法などを含むプロセスによって作製される。材料層のいくつかは、フォトレジストマスク及び湿式又は乾式エッチング技術を用いてパターン化される。基板は、シリコン、ガリウムヒ素、リン化インジウム、ガラス、又は他の適切な材料とすることができる。
これらの製造プロセスでは、様々な材料層を堆積又はエッチングするためにプラズマを使用することができる。プラズマ処理は、熱処理よりも多くの利点を提供する。例えば、プラズマ強化化学気相成長法(PECVD)は、類似の熱プロセスよりも低い温度で、より高い堆積速度で堆積プロセスを実行することができる。従って、PECVDは、より低い温度で材料を堆積することができる。
これらのプロセスで使用される処理チャンバは、典型的には、処理中に基板を支持するために内部に配置された基板支持体、台座、又はチャックを含む。いくつかのプロセスでは、台座は、基板の温度を制御するように、及び/又はプロセスで使用することができる高温を提供するように適合された埋め込みヒータを含むことができる。
HAR(高アスペクト比)プラズマエッチングは、かなり高いバイアス電力を使用して、屈曲のないプロファイルを達成する。誘電体エッチングに対してHARをサポートするために、電力は20KWに増加させることができ、これはESC(静電チャック)に大きな影響を与える。多くの現在のESC設計は、高いバイアス電力の直接的な結果として生じる高電圧に耐えることはできない。ESC内に設計された穴は、特に苦しむ可能性がある。更に、過剰なラジカルが接合を侵食すると、リフトピン領域内でESCが接合不良を被る可能性がある。別の影響は、ESC表面温度がより高い速度で変化することである。ESC表面の加熱は、印加されたRFプラズマ電力に正比例する。熱はまた、接合不良の結果となる可能性がある。更に、ESC上で支持されるウェハの湾曲及びウェハ上の電荷の蓄積はまた、ウェハのデチャッキングをより困難にする。
一般的なプロセスでは、ESCを使用して、エッチングアプリケーション用のウェハに印加される2MHz、6.5KWのプラズマ電力によってウェハを保持する。高いアスペクト比(例えば、100:1)のアプリケーションは、はるかにより高いプラズマ電力を使用する。本明細書では、高いウェハバイアスを生成する低周波高電力プラズマ電圧内で動作するESCが説明される。より高い電力は、絶縁破壊に起因する、及びESC内に設計されたガス穴内におけるプラズマ点火に起因するESCの故障を増加させる。記載されるESCは、高電力及び高バイアス電圧に耐える。
概要
改善された電極を有する静電チャックが記載されている。いくつかの例では、チャックは、ベースプレートと、トッププレートと、ワークピースを静電的に把持するためにトッププレートの上面に近接したトッププレート内の第1の電極と、第1の電極から間隔をあけて配置されたトッププレート内の第2の電極とを含み、第1の電極及び第2の電極は、第1の電極を静電的に帯電させるための電源に結合されている。
本発明の実施形態は、限定ではなく例として、添付図面の図に示されている。
本発明の一実施形態に係るデュアルメッシュ電極を有する静電チャックの断面側面図である。 本発明の一実施形態に係るデュアルメッシュ電極を有する別の静電チャックの断面側面図である。 本発明の一実施形態に係るデュアルメッシュ電極を有する更に別の静電チャックの断面側面図である。 本発明の一実施形態に係るデュアルメッシュ電極を有する更に別の静電チャックの断面側面図である。 本発明の一実施形態に係るデュアルメッシュ電極を有する更に別の静電チャックの断面側面図である。 本発明の一実施形態に係る図1の電気コネクタの一例の断面側面図である。 本発明の一実施形態に係る様々な穴を示す、図1のパックの部分透過上面図である。 本発明の一実施形態に係る強化ロッドを有する静電チャックの断面側面図である。 本発明の一実施形態に係るワークピースキャリアを含むプラズマエッチングシステムの図である。
詳細な説明
いくつかの実施形態では、2つのレベルのメッシュが、静電チャック(ESC)のセラミックストッププレートの内部に形成されるか、又はセラミックストッププレートに追加される。下部メッシュの設計は、上部メッシュに比べて修正され、これによってワークピース(例えば、シリコンウェハ)がチャックによって保持されるときに、処理中により高いプラズマ電力(出力)及び周波数に対応する。チャックは様々な異なる方法で形成することができる。
プラズマ中のより高い電圧は、チャックのトッププレート又はパック内の静電放電を引き起こす可能性がある。パック内に電極メッシュの2つの層(上部及び下部)を使用して、放電のないファラデーケージをパック内に形成することができる。
図1は、上部パック内にデュアルメッシュ電極を有する静電チャックの断面側面図である。図示の例では、チャックは、Al冷却又はベースプレート202を有するESCである。パック206は、誘電体接着剤204の層でベースプレートに接合される。接着剤は、パックとベースプレートとの間の電気伝導及び熱伝導を減衰させる。パックは、セラミックス又は別の誘電体で作られている。パックは、静電気力を用いてワークピース(例えば、ウェハ208)を保持する。ワークピースは本明細書ではウェハと呼ばれるが、チャックは様々な異なる製品及びプロセスのための他のワークピースを支持することができる。この図は、本発明の構成を不明瞭にしないように単純化されている。
ベースプレートは、熱流体、ガス流、ヒータ電力、センサ、及び他の構成要素のための多くの他の構成要素、構成、及び外部接続を含むことができる。同様に、パックは、ヒータ、センサ、液体及びガス流チャネル、及びベースプレートを介して外部の構成要素に接続される他の構成を含むことができる。物理的な支持のための図示されたベースプレートの下に、これらの他の構成要素のいくつかを支持するための追加のプレートがあってもよい。他の多くの追加の構成があってもよいが、チャックベースプレート及びトッププレートを貫通する単一の中央管230が存在して、ウェハの裏面からチャックを介して冷却及び熱伝導ガス(例えば、ヘリウム)を運んでもよい。追加のガス穴及び他の穴があってもよい。ベースプレートを貫通する追加の穴232及びウェハは、例えば、デチャッキング用にウェハをチャックから押し離すためのリフトピンを提供することができる。
ウェハ208を保持するための静電気力は、ベースプレート及びパックを貫通して電気コネクタ又はロッド220を介して外部電源222からワイヤメッシュに電圧を印加することによって帯電されるパックの上面付近の上部電極212(例えば、ワイヤのメッシュ又はプレート)を使用して生成される。外部電源は、AC(交流)又はDC(直流)電源とすることができる。ワイヤメッシュ212は、この断面側面図では、ウェハの近くに線として現れる。上面図では、メッシュは、パックの上面付近の大部分の領域を覆う、通常は直交するワイヤのウェブである。ワイヤは、銅、アルミニウム、又はモリブデンとすることができる。あるいはまた、ワイヤメッシュは、パック内に埋め込まれた中実又は大部分は中実の導電性プレートであってもよい。プレートは、異なる静電極性又は電荷量を印加するために、いくつかの部分であってもよい。上部212及び下部メッシュ210は、スクリーン印刷、堆積、又は紡糸によって形成することができる。あるいはまた、導電性プレートを別個に鋳造又は機械加工し、次いで、トッププレートが形成されるときにトッププレート内に配置してもよい。
上部ワイヤメッシュはまた、外部RF(高周波)電力発生器224に電気コネクタ220を介して結合されて、ウェハにバイアス電圧を誘導し、ウェハにイオン衝撃を誘発することができる。RF電源224は、DC電圧源222と同じであっても異なっていてもよい。上部メッシュ212へのコネクタ220は、同じ上部メッシュにつながる同じコネクタ又は2以上の異なるコネクタであってもよい。
上述したように、パックは、デュアルメッシュ又はデュアル電極を有する。下部メッシュ210は、主たる上部メッシュ212の下に追加される。下部ワイヤメッシュは、上部メッシュと下部メッシュとの間に接続された一連のペグ214を使用して電圧源に電気的に接続され、同じ電位及びRF電力を運ぶ。デュアルメッシュは、より厚い誘電体材料の熱U%の利点を維持しながら、RF電力結合の観点から誘電体の有効厚さをより薄くする。この文脈におけるU%は、均一性の量を指す。デュアルメッシュはまた、上部メッシュと下部メッシュの間の電界勾配を低減又は排除する。
ペグ214を用いて2つのメッシュ210、212を接続することにより、デュアルメッシュは、パック内のヘリウムの点火を防止することができる。ヘリウムの点火は、セラミックスプレートに埋め込まれた単一のメッシュ電極へのRF電力結合によって生じる電界に起因する。静電気力でウェハをクランプするために使用されるのは上部電極である。下部メッシュは、上部メッシュと下部メッシュとの間のパック内部に一種のファラデーケージを生成する。上部メッシュと下部メッシュとの間の領域内のチャネル、穴、及びギャップ(図示せず)のすべてが、電荷の蓄積から遮蔽される。上部メッシュは、パックの最上部にできるだけ近くにあり、より良好な静電把持を提供する。下部メッシュは、パックの底部にできるだけ近くにあり、より大きなファラデーケージを提供する。
図2は、デュアルメッシュシールドの代替実施形態の断面側面図である。図1の例のように、パック306又はトッププレートは、絶縁接着剤層304によってベースプレート302に取り付けられている。ベースプレートは典型的にはアルミニウムであり、一方、トッププレートは典型的にはセラミックス(例えば、アルミナ)であるが、他の材料を使用してもよい。他の構成の中でもとりわけ、中心ガス穴330及びリフトピン穴332が存在する。図1の例のように、パックは、ペグ314又は任意の他の適切な電気コネクタによって電気的に共に接続された上部メッシュ312及び下部メッシュ310を有する。メッシュ及びペグは、セラミックスが焼成されるときにセラミックス内に埋め込まれるが、あるいはまた、別の方法で取り付けられ又は形成されてもよい。上部メッシュは、処理されるウェハ308を把持するための静電荷を提供する。図1のように、下部ワイヤメッシュは、電圧源に電気的に接続され、同じ電位を運ぶ。
図2の例では、2つのメッシュは、ベースプレート302内のチャネルを通して伝達されるロッド320の形態の電気コネクタを介して電圧源322に結合される。RF電源324は、電気コネクタ326を使用してベースプレート302に結合される。RF電力発生器は、ウェハにバイアス電圧を誘導し、ウェハにイオン衝撃を誘発する。
デュアルメッシュは、前の例のように機能し、2つのメッシュ層の間のパック内のガス又は他の材料の発火を、パックのこの部分を電荷の蓄積から遮蔽することによって防止する。更に、デュアルメッシュ310、312は、冷却プレートからウェハ308へのパック306を通るRF電力324の伝導を高める。導電性メッシュ310、312及びベースプレート302は、誘電体接着層304とパック306のセラミックス材料とによって分離されたキャパシタプレートとして部分的に作用する。この静電容量(キャパシタンス)は、下部メッシュ310によって低減され、下部メッシュをベースプレートの近くに配置することによって低減される。静電容量はまた、パック静電電極310、312に印加されるDC電圧と同様のDC電圧をベースプレートに印加することによって低減される。このようなDC電位は、本明細書に記載された例のいずれにおいてもベースプレートに印加することができる。
デュアルメッシュ構造は、冷却プレートとウェハとの間のパックを通る静電容量及びインピーダンスを劇的に減少させる。実際には、デュアルメッシュは、パックを通るRF電力結合のためにパックの誘電体の厚さを減少させる。同時に、熱U%の利益が維持される。これは、プラズマエッチングチャンバ内の実際のウェハ上のエッチング速度の10%以上の増加をもたらす可能性がある。
図3は、冷却プレートに負の電圧を印加してワークピースと冷却プレートとの間の電位差を低減するデュアルメッシュシールドの代替実施形態の断面側面図である。図1の例のように、セラミックスパック406が、アルミニウムベースプレート402に接着剤404の層によって取り付けられている。パックは、ペグ414によって共に電気的に接続された埋め込まれた上部メッシュ412及び下部メッシュ410を有する。図1のように、下部ワイヤメッシュは、電圧源に電気的に接続され、同じ電位を運ぶ。アセンブリは、他の構成の中でもとりわけ、中心ガス穴430及びリフトピン穴432を有する。
図3の例では、2つのメッシュは、ベースプレート402を貫通するロッド420を介して電圧源422に結合されている。RF電源(図示せず)はまた、ウェハにバイアス電圧を誘導するためにパックに結合されてもよい。第2のRF電源424はまた、電気コネクタ426を使用してベースプレート402に結合される。更に、DC電圧源440がベースプレートに結合される。DC440及びRF424の電源は、同一であってもよく、又は図示のように別個であってもよい。
ベースプレート上のDC電位は、ベースプレートとウェハとの間の電位差を低減する。電極がセラミックスに埋め込まれているので、DC電極に印加される電力はDC放電を生成しない。これは、DC放電を維持する電極からの二次電子放出を防止する。他方、ウェハと冷却プレートとの間には電位差が存在する。ウェハ上の電位は、図1の電極又は図2のベースプレートのいずれかに印加されるRF電力によって生成されている。
一例として、静電電極上に−4kVのチャッキング電圧によって、4kV以上の電位差が存在する。この差は、ベースプレートの電圧が浮くことが可能である場合には、より大きくなる可能性がある。他方、ベースプレートに約−2kVの電圧を印加することによって、電位差を約2kVに半分に減少させることができる。静電容量が減少すると、プラズマ処理パラメータをより制御することができ、ベースプレート内の及びパック内のガス穴内のアーク放電を更に低減することができる。ベースプレートには、ウェハの電圧(この場合は−4kV)まで、及びそれを含むより高い電圧が印加されてもよいが、それは別の電圧であってもよい。これは、パックを貫通する電場を減少させる。
図4は、デュアルメッシュを有する図1のチャックの変形の断面側面図である。この例では、チャックはまた、冷却プレート202を有するESCである。パック206は、誘電体接着剤204の層によってベースプレートに接合される。パックは、静電気力を用いてワークピース208を保持する。チャックのベースプレート及びトッププレートを貫通する単一の中央管230は、ウェハの裏面からチャックを介して冷却及び熱伝導ガス(例えば、ヘリウム、窒素、又はその他のガス)を運ぶ。ベースプレートを貫通する追加の穴232及びウェハは、ガスを運ぶ、又はリフトピンを含み、デチャッキングのためにチャックからウェハを押し離す。
ウェハ208を保持するための静電気力は、外部電源222から電気コネクタ又はロッド260を介してベースプレート及びパックを貫通して下部電極210に電圧を印加することによって帯電される上部電極212を使用して生成される。下部電極212はまた、外部RF(高周波)電力発生器224に結合することができる。図示のように、コネクタロッド260は、図1のような上部電極212の代わりに下部電極210に直接接続される。
下部電極210は、上部電極と下部電極との間に接続された一連のペグ214を用いて上部電極212と電気的に接続され、これによって上部電極は、下部電極と同じ電位及びRF電力を運ぶ。図1の例のように、ペグ214を用いて接続された電極210、212は、大きなファラデーケージのようにパック内でのヘリウムの点火及び他の静電放電の影響を防止することができる。
図5は、デュアルメッシュを有する図1のチャックの変形の断面側面図である。ESCは、冷却プレート202と、誘電体接着剤204の層によって取り付けられたパック206とを有する。パックは、ワークピース208を保持し、チャックのベースプレート202及びトッププレート206を通る様々なチューブ又は穴230、232は、パックを通ってウェハの裏面へのアクセスを提供する。
この例では、パック内の上部電極212までの第1コネクタ又はロッド220、及びパック内の下部電極までの第2コネクタ又はロッド262が存在する。これらのロッドは両方とも、同じ外部電源222及びオプションの外部RF(高周波)電力発生器224から電圧を印加する。2つのロッドは、両方の電極を同じ電源に直接接続するが、オプションとして、異なる電源を使用してもよい。
更に、下部電極210は、オプションとして、上部電極と下部電極との間に接続された一連のペグ214を使用して、上部電極212に電気的に接続される。図1の例のように、接続された電極210、212は、大きなファラデーケージを形成する。図4及び図5の例は、図1の電極への電力接続を使用しているが、代わりに図2の接続を使用してもよい。
図6は、上部電極212を電源に接続する電気コネクタ220の一例の断面側面図である。ロッドは、下部電極(図示せず)の開口部を通って延在する。ロッドは、高抵抗材料から作られた電極と接触する上部240と、低抵抗材料(例えば、アルミニウム又は銅)から作られたより長い下部242とを有する。上部は、種々の材料のいずれか(例えば、アルミナ粉末を混合又はドープしたアルミニウム)で作ることができる。アルミナ粉末の粒子の濃度(集中度)は、ロッドの抵抗率を決定する。高抵抗材料は、1キロオーム以上の抵抗を有することができ、低抵抗部分は、1キロオーム未満の抵抗を有することができる。
ロッドの高抵抗部分は、電流の流れ(特に、ロッドを通る大きな電流サージ)を制限する働きをする。使用時には、上部電極及び下部電極は、チャンバ内のプラズマ電力によって誘導される高電荷を有する可能性がある。電流の流れを制限することにより、電流はロッドを通って電源に流れることが制限される。これは、電源を保護する。また、電極上の電荷は、ロッドを通って流れ、ロッドが電極に接続するホットスポットを生成する代わりに、電極上により多く留まるように誘導される。十分な電流があれば、ロッドは過熱してパックを加熱し、おそらくパックを破壊する可能性がある。アルミナセラミックスパックの場合、熱が多すぎるとパックのセラミックスにひびが入るだろう。
図7は、部分透視図での図1のパック206の上面図である。パックは、保持するウェハより少し大きくサイズ決めされた下部電極210と、保持するウェハとほぼ同じサイズにサイズ決めされた上部電極212とを有する。電極は、電極が見えるように透明であるように図示されたチャックの誘電体材料内にある。上部電極212及び下部電極210は、小さなワイヤのグリッド、コーティング、又は中実プレートの形態とすることができ、これらの用語のいずれかによって本明細書において参照される。
電極は、一連のコネクタペグ214と電気的に接続されている。これらのペグは、上部電極の周辺部の周りにあり、下部電極のすぐ内側に接続しているものとして示されている。ペグは、パック内に埋め込まれて形成されることができる、又は中実又は中空の導電性材料で形成され、パック材料が硬化されるときに定位置に保持されてもよい。ペグは、上部電極の周辺部の周りに均等に又は不均等に間隔を空けて配置することができる。ペグは、処理チャンバ内のプラズマプロセスに予想される予想RFエネルギーに対してファラデーケージを形成するのに共に十分に近接している。電極に加えて、中心ガス穴230及びリフトピン穴232が存在する。他の機能を実行するために、追加の穴及び他の構造があってもよい。図1のように、ヒータ、冷却チャネル、プラズマ処理構造、及び他の構成要素は、図面を不明瞭にしないために示されていない。
図示されているように、穴230、232は、上部及び下部電極の周辺部内にある。これは、上述のように穴が大部分ファラデーケージ内に存在し、外部電圧、電荷、及び高エネルギープラズマによって引き起こされる他の状態から保護されるという結果をもたらす。
この説明では、数多くの詳細が記載されている。しかしながら、本発明は、これらの特定の詳細なしに実施できることは、当業者には明白であろう。いくつかの例では、本発明を不明瞭にするのを避けるために、周知の方法及び装置を詳細に示すのではなく、ブロック図の形態で示している。本明細書を通して、「一実施形態」又は「1つの実施形態」への言及は、その実施形態に関連して説明された、特定の構成、構造、機能、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書を通して、様々な箇所における「一実施形態では」又は「1つの実施形態では」という句の出現は、必ずしも本発明の同一の実施形態を指しているわけではない。更に、特定の構成、構造、機能、又は特性は、1以上の実施形態において任意の適切な方法で組み合わせることができる。例えば、第1の実施形態は、2つの実施形態に関連する特定の構成、構造、機能、又は特性が互いに排他的ではない場合であれば、第2の実施形態と組み合わせることができる。
図8は、電極にチャッキング電流を供給するための強化されたロッドを有する静電チャックの断面側面図である。記載された導電性ロッドは、他のチャックの例のいずれかに適合させることができる。他の図と同様に、ベースプレート502は、上部電極512上の電荷を使用して、ワークピース(例えば、シリコンウェハ)を静電的に把持するトッププレート506を支持する。ロッド520は、ベースプレートを通って電極512に電流を伝導し、電荷を確立及び消散させる。下部電極510は、垂直なペグ514のアレイを介して上部電極に結合されている。上述したように、ペグのために、ロッドは、上部電極、下部電極、又はその両方のいずれかに接続することができる。電極及びペグは、トッププレート内に埋め込まれ、トッププレートは、典型的にはセラミックスであるが、必ずしもそうではない。
ベースプレートは熱伝導性であり、クーラントチャネル、ヒータ、及び他の熱制御要素を有することができる。一般的な熱伝導性材料はまた、電気伝導性であり、アルミニウムはベースプレートのための一般的な材料である。これとは逆に、トッププレートは誘電体であり、静電電荷を維持できるので、典型的には非常に低い熱伝導率も有する。これは、トッププレートを非常に薄くすることによって補償される。
これらの電気的特性のために、チャックがRFプラズマ中に配置されると、RF電流は、導電性ベースプレートの表面を横切って、トッププレートを通って、上部電極及び下部電極に流れる傾向がある。これは、ベースプレートの表面から始まり、トッププレートを通って下部電極へと進む矢印として示されている。電極は電気的に接続されているので、RF電流は、両方の電極を通って自由に流れる。RF電流は、メッシュがロッドに接続する点522に集中する傾向がある。蓄積量は、プラズマのインピーダンス及びロッドのインピーダンスに依存する。ロッドは導電性であり、接続された電源に電流を伝導させるので、矢印で示すように、RF電流は、ロッドを下って電源に向かって流れる傾向がある。ロッドがRF電流を電極にも供給している場合、RF電流の密度は増加する。高いRF電流密度(電流濃度)は、トッププレート内又はトッププレートとワークピースとの間でアーク放電を引き起こす可能性がある。
図示のような強化されたロッド520は、冷却プレート502を通って伝わる部分の周囲に、又はその部分に結合されたインダクタ524を含む。本明細書の他の例と同様に、インダクタ及びロッドは、ロッドが通って延びる穴の中の絶縁体526によって導電性ベースプレートから電気的に絶縁される。
インダクタ524は、様々な異なる形態(例えば、ワイヤコイル、シリンダ、又はビーズ)のいずれかをとることができる。インダクタンスは、RFプラズマの予想される周波数に基づいて選択することができる。インダクタは、印加されたRFプラズマによって生成されたRF電流にチョーク又はブロックを提供する。この効果は、インダクタ524で止まる矢印によって示される。チョーク効果は、ロッドと電極との接続点におけるRF電流の集中を防止する。RF電流が、図4及び図5にあるように電源から電極に印加される場合、インダクタンスはまた、供給された電力が電極に到達し、同時にまたプラズマによって生成されたRF電流を阻止することができるように選択される。
RF電力が、チャッキング電極512にも印加される場合、プラズマによって生成されたRF電流がロッドに流れ込むのを阻止し、同時に電極に印加されたRF電力が電極を通ってウェハに流れることを可能にするインダクタンスを選択することが非常に困難である。これは、プラズマの周波数と印加されたRF電力の周波数は、似ている傾向があるためである。ロッド上のインダクタはまた、インダクタを通過しようとしている周波数に直接関係するインピーダンスを生成する。コイルインダクタの場合、インピーダンスは、2π×周波数×インダクタンスで定義される。
図9は、本明細書に記載の実施形態に係る台座又はチャック128を有するプラズマシステム100の部分断面図である。台座128は、基板が多数のプロセス条件及びチャンバ条件に曝されている間に、広い温度範囲にわたって台座上に配置された基板の温度のアクティブな制御を可能にするアクティブ冷却システムを有する。プラズマシステム100は、処理領域120を画定する側壁112及び底壁116を有する処理チャンバ本体102を含む。
台座、キャリア、チャック、又はESC128は、システム100の底壁116に形成された通路122を通して処理領域120内に配置される。台座128は、基板(図示せず)をその上面上で支持するように適合される。基板は、様々な異なる材料のいずれかで作られたチャンバ100によって印加される処理のための様々な異なるワークピースのいずれかとすることができる。台座128は、オプションとして、所望のプロセス温度で基板温度を加熱して制御するための、加熱要素(図示せず、例えば、抵抗素子)を含むことができる。あるいはまた、台座128は、遠隔加熱要素(例えば、ランプアセンブリ)によって加熱されてもよい。
チャックはまた、チャック内に埋め込まれた上部及び下部の接続電極(図示せず)を含み、ウェハ(図示せず)をチャックの上面に保持する。チャックは、図1により詳細に示されるように、トッププレートとベースプレートとを含む。
台座128は、シャフト126によって、電源出力又は電源ボックス103に結合されており、電源出力又は電源ボックス103は、処理領域120内で台座128の上昇及び移動を制御する駆動システムを含むことができる。シャフト126はまた、台座128に電力を供給するための電力インターフェースを含む。電力ボックス103はまた、電力及び温度インジケータ用のインターフェース(例えば、熱電対インターフェース)を含む。シャフト126はまた、電源ボックス103に着脱自在に結合するように適合されたベースアセンブリ129を含む。円周リング135が、電源ボックス103の上方に図示される。一実施形態では、円周リング135は、ベースアセンブリ129と電源ボックス103の上面との間の機械的インターフェースを提供するように構成されたメカニカルストップ又はランドとして適合された肩部(ショルダー)である。
ロッド130は、底壁116に形成された通路124を通して配置され、台座128を通して配置された基板リフトピン161を作動させるために使用される。基板リフトピン161は、ワークピースを台座上面から持ち上げて、(典型的には、基板搬送ポート160を通してロボット(図示せず)を使用して)ワークピースを取り除く、及びチャンバに取り入れる及びチャンバから取り出すことができる。
チャンバ蓋104は、チャンバ本体102の上部に結合される。蓋104は、それに結合された1以上のガス分配システム108を収容する。ガス分配システム108は、シャワーヘッドアセンブリ142を通して処理領域120B内に反応ガス及び洗浄ガスを送るガス入口通路140を含む。シャワーヘッドアセンブリ142は、フェースプレート146の中間に配置されたブロッカプレート144を有する環状ベースプレート148を含む。
高周波(RF)源165は、シャワーヘッドアセンブリ142に結合されている。RF源165は、シャワーヘッドアセンブリ142に電力供給して、シャワーヘッドアセンブリ142のフェースプレート146と加熱された台座128との間のプラズマの生成を促進する。一実施形態では、RF源165は、高い周波数の高周波(HFRF)電源(例えば、13.56MHzのRF発生器)とすることができる。別の一実施形態では、RF源165は、HFRF電源と、低い周波数の高周波(LFRF)電源(例えば、300kHzのRF発生器)とを含むことができる。あるいはまた、RF源は、処理チャンバ本体102の他の部分(例えば、台座128)に結合されて、プラズマ生成を促進してもよい。誘電体アイソレータ158が、蓋104とシャワーヘッドアセンブリ142との間に配置され、蓋104へのRF電力の伝導を防止する。シャドウリング106は、台座128の周囲に配置され、台座128の所望の高さで基板と係合することができる。
オプションとして、冷却チャネル147が、ガス分配システム108の環状ベースプレート148内に形成され、動作中に環状ベースプレート148を冷却する。熱伝達流体(例えば、水、エチレングリコール、ガスなど)が冷却チャネル147を通して循環され、これによってベースプレート148を所定の温度に維持することができる。
チャンバライナアセンブリ127は、チャンバ本体102の側壁101、112に非常に近接して処理領域120内に配置され、処理領域120内の処理環境への側壁101、112の曝露を防止する。ライナアセンブリ127は、処理領域120からのガス及び副生成物を排出し、処理領域120内の圧力を制御するように構成されたポンピングシステム164に結合された円周ポンピングキャビティ125を含む。複数の排気ポート131が、チャンバライナアセンブリ127上に形成されてもよい。排気ポート131は、システム100内の処理を促進するように、処理領域120から円周ポンピングキャビティ125へのガスの流れを可能にするように構成される。
システムコントローラ170は、様々な異なるシステムに結合され、チャンバ内の製造プロセスを制御する。コントローラ170は、温度制御アルゴリズム(例えば、温度フィードバック制御)を実行するための温度コントローラ175を含むことができ、ソフトウェア又はハードウェア、又はソフトウェアとハードウェアの両方の組み合わせのいずれかとすることができる。システムコントローラ170はまた、中央処理装置172、メモリ173、及び入出力インターフェース174を含む。温度コントローラは、台座上のセンサ(図示せず)から温度読み取り値143を受信する。温度センサは、クーラントチャネルに近接していてもよく、ウェハに近接していてもよく、台座の誘電体材料内に配置されていてもよい。温度コントローラ175は、検出された1以上の温度を使用して、台座アセンブリ142と、プラズマチャンバ105の外部のヒートソース及び/又はヒートシンク(例えば、熱交換器177)との間の熱伝達速度に影響を与える制御信号を出力する。
システムはまた、温度フィードバックループに基づいて制御された流れを有する制御された熱伝達流体ループ141を含むことができる。例示的な実施形態では、温度コントローラ175は、熱交換器(HTX)/チラー177に結合される。熱伝達流体は、熱伝達流体ループ141を通してバルブによって制御される速度でバルブ(図示せず)を通って流れる。バルブは、熱交換器内に組み込んでもよいし、又は熱交換器の内部又は外部のポンプ内に組み込んでもよく、熱流体の流量を制御することができる。熱伝達流体は、台座アセンブリ142内の導管を通って流れ、その後、HTX177に戻る。熱伝達流体の温度は、HTXによって増減され、その後、流体はループを通って台座アセンブリに戻される。
HTXは、ヒータ186を含み、熱伝達流体を加熱し、それによって基板を加熱する。ヒータは、熱交換器内のパイプの周りの抵抗コイルを用いて、又は加熱された流体が熱交換器を通って熱流体を含む導管に熱を伝導する熱交換器を用いて形成することができる。HTXはまた、熱流体から熱を引き出す冷却器188を含む。これは、周囲空気又は冷却流体に熱を放出するための放射器を使用して、又は様々な他の方法のいずれかで行うことができる。ヒータと冷却器は組み合わされ、これによって温度制御された流体が最初に加熱又は冷却され、次いで、制御流体の熱が熱伝達流体ループ内の熱流体の熱と交換されることができる。
HTX177と台座アセンブリ142内の流体導管との間のバルブ(又は他のフロー制御装置)は、温度コントローラ175によって制御され、流体ループへの熱伝達流体の流量を制御することができる。温度コントローラ175、温度センサ、及びバルブは、構成及び動作を単純化するために組み合わせてもよい。実施形態では、熱交換器は、流体導管から戻った後の熱伝達流体の温度を感知し、流体の温度及びチャンバ102の動作状態のための所望の温度に基づいて熱伝達流体を加熱又は冷却する。
電気ヒータ(図示せず)を台座アセンブリ内に使用して、台座アセンブリに熱を印加することもできる。典型的には抵抗素子の形態の電気ヒータは、温度制御システム175によって制御される電源179に結合され、ヒータ素子を通電して、所望の温度を得る。
熱伝達流体は、脱イオン水/エチレングリコール、フッ素化冷媒(例えば、3M製のFluorinert(商標名)又はSolvay Solexis,Inc.製のGalden(商標名))、又は他の適切な誘電性流体(例えば、過フッ素化不活性ポリエーテルを含有するもの)などが挙げられるが、これらに限定されない液体とすることができる。本明細書では台座についてPECVD処理チャンバの文脈で説明しているが、本明細書に記載された台座は、様々な異なるチャンバで、及び様々な異なるプロセスに使用することができる。
裏面ガス源178(例えば、加圧ガス源又はポンプ及びガスリザーバ)は、マスフローメータ185又は他のタイプのバルブを介してチャックアセンブリ142に結合される。裏面ガスは、ヘリウム、アルゴン、又はチャンバのプロセスに影響を及ぼすことなく、ウェハとパックとの間で熱対流を提供する任意のガスとすることができる。ガス源は、システムが接続されているシステムコントローラ170の制御下で、以下でより詳細に説明する台座アセンブリのガス出口を介してガスをウェハの裏面側に汲み出す。
処理システム100はまた、図7には特に図示されていない他のシステム(とりわけ、プラズマ源、真空ポンプシステム、アクセスドア、マイクロマシニング、レーザシステム、及び自動ハンドリングシステムなど)を含むことができる。図示されたチャンバは一例として提供され、ワークピースの性質及び所望のプロセスに依存して、様々な他のチャンバのいずれかを本発明と共に使用することができる。記載された台座及び熱流体制御システムは、異なる物理的チャンバ及びプロセスと共に使用するように適合されてもよい。
本明細書及び添付の特許請求の範囲で使用されるとき、単数形の「a」、「an」、及び「the」は、文脈が他に明白に示さない限り、複数形も含むことが意図されている。また、本明細書で使用される「及び/又は」という用語は、1以上の関連する列挙された項目の任意かつすべての可能な組合せを指し、包含すると理解される。
「結合された」及び「接続された」という用語は、それらの派生語とともに、本明細書では、構成要素間の機能的又は構造的関係を記述するために使用することができる。これらの用語は、互いに同義語として意図されていないことを理解すべきである。むしろ、特定の実施形態では、「接続された」は、2以上の要素が互いに物理的、光学的、又は電気的に直接接触していることを示すために使用することができる。「結合された」は、2以上の要素が直接的又は間接的に(それらの間に他の介在要素を伴い)互いに物理的、光学的、又は電気的に接触していること、及び/又は2以上の要素が協働する又は(例えば、因果関係におけるような)互いに相互作用することを示すために使用することができる。
本明細書で使用される「上(上方)」、「下(下方)」、「間」、及び「上(表面)」という用語は、そのような物理的関係が注目に値する1つの構成要素又は材料層の他の構成要素又は層に対する相対的な位置を指す。例えば、材料層の文脈において、別の層の上又は下に配置された1つの層は、他の層と直接接触していてもよく、又は1以上の介在層を有していてもよい。更に、2つの層の間に配置された1つの層は、2つの層と直接接触していてもよく、又は1以上の介在層を有していてもよい。対照的に、第2の層の「上(表面)の」第1の層は、その第2の層と直接接触している。コンポーネントアセンブリの文脈においても同様の区別がなされるべきである。
上記の説明は例示的なものであり、限定的なものではないことが意図されていることを理解すべきである。例えば、図中のフロー図は、本発明の特定の実施形態によって実行される特定の順序の操作を示しているが、そのような順序は要求されない(例えば、代替の実施形態は、異なる順番で操作を実行してもよい、特定の操作を組み合わせてもよい、特定の操作を重複してもよいなど)ということを理解すべきである。更に、多くの他の実施形態は、上記の説明を読んで理解することにより、当業者には明らかになるであろう。本発明は、特定の例示的な実施形態を参照して説明されたが、本発明は、記載された実施形態に限定されず、添付の特許請求の範囲の趣旨及び範囲内で変更及び改変されて実施できることが理解されるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を参照して、そのような特許請求の範囲が権利を与えられる均等物の全範囲とともに決定されるべきである。
デュアル電極システムの異なる実施形態の例には、2つの電極が離間して電源に接続されたトッププレート内にデュアルDC電極を有するESCが含まれる。
実施形態は、ESCの静電気力が、上部電極に電圧を印加することによって帯電されるパックの上面付近の2つの電極のうちの上部電極によって生成される上記の設計を含む。
実施形態は、電極がワイヤのメッシュによってそれぞれ形成される上記の設計を含む。
実施形態は、メッシュが通常直交するワイヤのウェブである上記の設計を含む。
実施形態は、RF発生器に接続されたAlベースプレートを含む上記の設計を含む。
実施形態は、下部電極が、上部電極と下部電極との間に取り付けられた一連のペグを介して電圧源に電気的に接続され、同じ電位を運ぶ上記の設計を含む。
実施形態は、上部電極と下部電極との間の領域にチャネル、穴、及び/又はギャップが存在する上記の設計を含む。
実施形態は、上側電極と下側電極との間の領域内の任意のチャネル、穴、及びギャップが、上部メッシュ及び下部メッシュによる電荷の蓄積から遮蔽される上記の設計を含む。
実施形態は、電極が電気導電性ロッドによって電源に接続される上記の設計を含む。
実施形態は、ロッドが、電極に最も近い(例えば、1Kオームを超える抵抗を有する)高抵抗率部分と、電源に最も近い(例えば、1Kオーム未満の抵抗を有する)低抵抗率部分とを有する上記の設計を含む。
実施形態は、高抵抗率部分がアルミナ粒子と混合されたアルミニウムで形成される上記の設計を含む。
実施形態は、上記実施形態のいずれかの機能を実行するための手段を含む。
実施形態は、静電チャックのトッププレート内の電極を第1の電圧で駆動してワークピースを把持するステップであって、第1の電極はトッププレートの上面近くにあるステップと、第1の電圧でトッププレート内の第2の電極を駆動して、トッププレート内にファラデーケージを形成するステップとを含むプラズマ処理チャンバ内のワークピースを処理する方法を含む。
実施形態は、電極がまた高周波で駆動されて、チャンバ内のプラズマにバイアスを掛ける上記設計を含む。

Claims (15)

  1. ベースプレートと、
    トッププレートと、
    ワークピースを静電的に把持するためにトッププレートの上面に近接したトッププレート内の第1の電極と、
    第1の電極から間隔をあけて配置されたトッププレート内の第2の電極とを含み、第1の電極及び第2の電極は、第1の電極を静電的に帯電させるための電源に結合されている静電チャック。
  2. 電源は、第1の電極及び第2の電極の両方に直流電力を供給する、請求項1記載のチャック。
  3. 電源は、第1の電極に交流を更に供給して、ワークピースにバイアス電圧を誘導する、請求項2記載のチャック。
  4. ベースプレートは、誘電体接着剤でトッププレートに取り付けられている、上記請求項のいずれか1項以上に記載のチャック。
  5. 第1の電極を第2の電極に電気的に接続するための複数の導電性ペグを含む、上記請求項のいずれか1項以上に記載のチャック。
  6. 第1及び第2の電極は、導電性メッシュで形成される、請求項5記載のチャック。
  7. トッププレートはセラミックスであり、電極及びペグは、前記セラミックス内に埋め込まれている、請求項5記載のチャック。
  8. ベースプレートに結合され、第1及び第2の電極に印加される直流電圧と同じ極性でベースプレートに直流電圧を印加する電源を含む、上記請求項のいずれか1項以上に記載のチャック。
  9. ベースプレートに印加される直流電圧は、電極に印加される直流電圧の約半分である、上記請求項のいずれか1項以上に記載のチャック。
  10. 第1及び第2の電極は、第2の電極に結合されたロッドによって電源に結合され、複数の導電性ペグが、電源からの電力を第2の電極から第1の電極に電気的に接続する、上記請求項のいずれか1項以上に記載のチャック。
  11. 第1及び第2の電極のうちの少なくとも1つは、ロッドによって電源に結合され、ロッドは、電極に結合された高電気抵抗部と、電源に結合された低電気抵抗部とを有する、上記請求項のいずれか1項以上に記載のチャック。
  12. 第1及び第2の電極のうちの少なくとも1つは、ベースプレートを貫通して延びるロッドによって電源に結合され、ロッドは、ベースプレート内にインダクタを有する、上記請求項のいずれか1項以上に記載のチャック。
  13. 第1の電極と第2の電極との間の領域内のいずれかのチャネル、穴、又はギャップは、第1電極及び下部電極によって電荷蓄積から遮蔽されている、上記請求項のいずれか1項以上に記載のチャック。
  14. プラズマ処理チャンバ内でワークピースを処理する方法であって、
    静電チャックのトッププレート内の電極を第1の電圧で駆動してワークピースを把持するステップであって、第1の電極がトッププレートの上面近傍にあるステップと、
    トッププレート内の第2の電極を第1の電圧で駆動して、トッププレート内の第1の電極と共にファラデーケージを形成するステップとを含む方法。
  15. プラズマチャンバと、
    プラズマチャンバ内にガスイオンを含むプラズマを生成するプラズマ源と、
    静電チャックであって、ベースプレートと、トッププレートと、ワークピースを静電的に把持するためにトッププレートの上面に近接したトッププレート内の第1の電極と、第1の電極から間隔をあけて配置されたトッププレート内の第2の電極とを含み、第1の電極及び第2の電極は、第1の電極を静電的に帯電させるための電源に結合されている静電チャックとを含むプラズマ処理チャンバ。
JP2017558663A 2016-06-07 2017-01-26 高周波結合による高電力静電チャック設計 Active JP7101482B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662346746P 2016-06-07 2016-06-07
US62/346,746 2016-06-07
US201662352667P 2016-06-21 2016-06-21
US62/352,667 2016-06-21
US15/383,035 2016-12-19
US15/383,035 US11532497B2 (en) 2016-06-07 2016-12-19 High power electrostatic chuck design with radio frequency coupling
PCT/US2017/015183 WO2017213715A1 (en) 2016-06-07 2017-01-26 High power electrostatic chuck design with radio frequency coupling

Publications (2)

Publication Number Publication Date
JP2019522889A true JP2019522889A (ja) 2019-08-15
JP7101482B2 JP7101482B2 (ja) 2022-07-15

Family

ID=60482465

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017558663A Active JP7101482B2 (ja) 2016-06-07 2017-01-26 高周波結合による高電力静電チャック設計

Country Status (6)

Country Link
US (2) US11532497B2 (ja)
JP (1) JP7101482B2 (ja)
KR (1) KR20190005704A (ja)
CN (1) CN107710398B (ja)
TW (1) TWI776800B (ja)
WO (1) WO2017213715A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7507842B2 (ja) 2022-07-29 2024-06-28 セメス株式会社 基板支持装置およびそれを含む基板処理装置

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230096124A (ko) * 2017-03-28 2023-06-29 스미토모덴키고교가부시키가이샤 웨이퍼 유지체
TWI820667B (zh) * 2018-06-19 2023-11-01 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
US11367597B2 (en) * 2018-07-05 2022-06-21 Samsung Electronics Co., Ltd. Electrostatic chuck and plasma processing apparatus including the same
JP7153574B2 (ja) * 2019-01-17 2022-10-14 東京エレクトロン株式会社 上部電極構造、プラズマ処理装置、及び上部電極構造を組み立てる方法
TWI701751B (zh) * 2019-03-12 2020-08-11 力晶積成電子製造股份有限公司 晶圓夾盤裝置、晶圓形變量的量測方法及半導體製造方法
US11587773B2 (en) * 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
CN114245936A (zh) * 2019-08-08 2022-03-25 日本碍子株式会社 半导体制造装置用构件
WO2021041002A1 (en) * 2019-08-26 2021-03-04 Applied Materials, Inc. Semiconductor processing apparatus with improved uniformity

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004095665A (ja) * 2002-08-29 2004-03-25 Tokyo Electron Ltd 静電吸着装置および処理装置
JP2005033181A (ja) * 2003-05-12 2005-02-03 Tokyo Electron Ltd 処理装置
WO2011108663A1 (ja) * 2010-03-04 2011-09-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
WO2015111616A1 (ja) * 2014-01-22 2015-07-30 株式会社アルバック プラズマ処理装置、及びウェハ搬送用トレイ
JP2016009715A (ja) * 2014-06-23 2016-01-18 新光電気工業株式会社 静電吸着用トレイ、基板固定装置
JP2016051877A (ja) * 2014-09-02 2016-04-11 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US6627198B2 (en) 1997-03-13 2003-09-30 Corixa Corporation Fusion proteins of Mycobacterium tuberculosis antigens and their uses
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US5880923A (en) 1997-06-09 1999-03-09 Applied Materials Inc. Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
US6104596A (en) * 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6057244A (en) * 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
US6267839B1 (en) * 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
KR100378187B1 (ko) * 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
JP5013666B2 (ja) 2003-10-17 2012-08-29 ソニー株式会社 外装フィルムを使用した電池パック及び電池パックの製造方法
JP4510745B2 (ja) * 2005-10-28 2010-07-28 日本碍子株式会社 セラミックス基材と電力供給用コネクタの接合構造
WO2007066572A1 (ja) * 2005-12-06 2007-06-14 Creative Technology Corporation 静電チャック用電極シート及び静電チャック
US7667944B2 (en) * 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
JP2009239012A (ja) 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
CN102473669B (zh) * 2009-06-30 2015-07-15 Asml控股股份有限公司 图像补偿可寻址的静电卡盘系统
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8817449B2 (en) 2010-03-26 2014-08-26 Ulvac, Inc. Substrate holding device
CN103081088B (zh) * 2010-08-06 2016-04-06 应用材料公司 静电夹盘和使用静电夹盘的方法
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8520360B2 (en) * 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US9070536B2 (en) 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
KR102205945B1 (ko) 2012-09-26 2021-01-20 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 루프 제어를 갖는 바닥 및 측부 플라즈마 튜닝
WO2014073554A1 (ja) * 2012-11-06 2014-05-15 日本碍子株式会社 サセプタ
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
KR20170026360A (ko) * 2014-06-17 2017-03-08 에바텍 아크티엔게젤샤프트 무선 주파수 션트를 구비한 정전척
CN106537567B (zh) 2014-07-16 2019-08-27 株式会社日本有机雷特显示器 晶体管、显示装置和电子设备
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10083853B2 (en) * 2015-10-19 2018-09-25 Lam Research Corporation Electrostatic chuck design for cooling-gas light-up prevention
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004095665A (ja) * 2002-08-29 2004-03-25 Tokyo Electron Ltd 静電吸着装置および処理装置
JP2005033181A (ja) * 2003-05-12 2005-02-03 Tokyo Electron Ltd 処理装置
WO2011108663A1 (ja) * 2010-03-04 2011-09-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
WO2015111616A1 (ja) * 2014-01-22 2015-07-30 株式会社アルバック プラズマ処理装置、及びウェハ搬送用トレイ
JP2016009715A (ja) * 2014-06-23 2016-01-18 新光電気工業株式会社 静電吸着用トレイ、基板固定装置
JP2016051877A (ja) * 2014-09-02 2016-04-11 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7507842B2 (ja) 2022-07-29 2024-06-28 セメス株式会社 基板支持装置およびそれを含む基板処理装置

Also Published As

Publication number Publication date
TW201807770A (zh) 2018-03-01
CN107710398A (zh) 2018-02-16
WO2017213715A1 (en) 2017-12-14
US11532497B2 (en) 2022-12-20
US20230072594A1 (en) 2023-03-09
CN107710398B (zh) 2023-06-27
JP7101482B2 (ja) 2022-07-15
KR20190005704A (ko) 2019-01-16
US20170352567A1 (en) 2017-12-07
TWI776800B (zh) 2022-09-11
US11948826B2 (en) 2024-04-02

Similar Documents

Publication Publication Date Title
US11948826B2 (en) High power electrostatic chuck design with radio frequency coupling
JP7169319B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
CN106716608B (zh) 具有独立隔离的加热器区域的晶片载体
JP6154390B2 (ja) 静電チャック
KR101295794B1 (ko) 기판 처리 장치
KR102089949B1 (ko) 기판 처리 장치 및 기판 처리 장치의 부품
WO2013062804A1 (en) Thermal management of edge ring in semiconductor processing
JP2023517716A (ja) 基板処理チャンバにおける処理キットのシース及び温度制御
JP2018113430A (ja) 接点を有する、応力均衡のとれた静電基板キャリア
US20170352565A1 (en) Workpiece carrier with gas pressure in inner cavities
KR101885108B1 (ko) 기판 처리 장치
TW202226414A (zh) 用於半導體加工的高熱量損失加熱器與靜電卡盤
KR102344523B1 (ko) 지지 유닛 및 이를 포함하는 기판 처리 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210819

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220614

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220705

R150 Certificate of patent or registration of utility model

Ref document number: 7101482

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150