TW201734252A - 非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統 - Google Patents

非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統 Download PDF

Info

Publication number
TW201734252A
TW201734252A TW105144033A TW105144033A TW201734252A TW 201734252 A TW201734252 A TW 201734252A TW 105144033 A TW105144033 A TW 105144033A TW 105144033 A TW105144033 A TW 105144033A TW 201734252 A TW201734252 A TW 201734252A
Authority
TW
Taiwan
Prior art keywords
gas
outer casing
quartz
wedge
distribution assembly
Prior art date
Application number
TW105144033A
Other languages
English (en)
Other versions
TWI718226B (zh
Inventor
亞歷山大S 波亞克
約瑟夫 尤多夫斯基
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201734252A publication Critical patent/TW201734252A/zh
Application granted granted Critical
Publication of TWI718226B publication Critical patent/TWI718226B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明說明氣體分配組件以及使用此種氣體分配組件的處理腔室。氣體分配組件包含冷卻板材、石英圓盤、以及懸吊自石英圓盤的複數個反應氣體區段以及複數個淨化氣體區段。反應氣體區段與淨化氣體區段具有同軸氣體入口,同軸氣體入口具有內側管與外側管,內側管與外側管與區段正面中的不同氣體通口或真空通口流體連通。區段可由複數個懸吊桿懸吊自冷卻板材,懸吊桿包含金屬桿主體,金屬桿主體具有放大下端,放大下端放置在石英框架內且有矽墊圈圍繞放大下端。

Description

非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統
對於相關申請案的交互參照:此申請案主張對於申請於2016年1月1日的美國專利臨時申請案第62/274,238號的優先權,在此併入此臨時專利申請案的全部揭示內容以作為參考。
本揭示內容一般而言相關於用於處理腔室的氣體分配設備。特定而言,本揭示內容相關於用於批次處理腔室的高溫石英氣體分配組件。
通常在包含多個腔室的基板處理系統或平臺(亦可稱為群集工具)中進行半導體裝置的製成。在一些實例中,多腔室處理平臺或群集工具的目的,為循序在受控環境中對基板執行兩個或兩個以上製程。然而在其他實例中,多腔室處理平臺可僅對基板執行單一處理步驟。可利用額外的腔室最大化處理基板的速率。在較後的情況中,對基板執行的製程通常為批次製程,其中同時在給定腔室中處理相當多數量的基板(例如25個或50個)。批次處理特別有益於太過耗時而無法經濟地對個別基板執行的製程,諸如原子層沈積(Atomic layer deposition (ALD))製程以及一些化學氣相沈積(Chemical vapor deposition (CVD))製程。
典型的處理腔室使用經加工的鋁或金屬部件以用於氣體分配組件。對於高溫製程而言,金屬部件時常太過導熱,並可在高溫下熔融及(或)浸出污染物。此外,金屬注入器的高導熱率使熱移離處理區域,而因此需要提升加熱元件的功率位準。因此,在技術領域中需要改良用於批次處理腔室的注入器,這種注入器較不會導熱且較不會污染所執行的製程。
本揭示內容的一或更多個具體實施例係關於氣體分配組件,氣體分配組件包含冷卻板材、圍繞中央軸徑向設置的複數個反應氣體區段、圍繞中央軸徑向設置的複數個淨化氣體區段、以及在中央軸的石英圓盤。淨化氣體區段之每一者放置在反應氣體區段。
本揭示內容的額外具體實施例係關於包含冷卻板材的氣體分配組件,冷卻板材具有導熱主體,導熱主體具有穿過導熱主體的通道,以使流體從通道入口端流至通道出口端。
複數個反應氣體區段徑向設置於中央軸周圍。反應氣體區段之每一者包含石英楔形外殼,外殼具有背面、正面以及同軸氣體入口,同軸氣體入口與楔形外殼流體連通。同軸氣體入口具有內側管與外側管。內側管與楔形外殼內的氣室流體連通,且反應氣體區段包含鄰接氣室的擴散板材。擴散板材包含複數個孔,以允許氣體流過內側管以傳入氣室並擴散通過複數個孔並流出楔形外殼的正面進處理腔室的處理區域。外側管與楔形外殼的正面中的真空通口流體連通。真空通口圍繞反應氣體通口的擴散板材。每一反應氣體區段藉由至少三個懸吊桿被懸吊自冷卻板材。每一懸吊桿包含金屬桿主體,金屬桿主體穿過楔形外殼的背面中的開口。桿主體具有放大的下端,下端放置在楔形外殼內的石英框架內。矽墊圈被放置在石英框架內,並圍繞放大下端。
複數個淨化氣體區段徑向設置於中央軸周圍。淨化氣體區段之每一者放置在反應氣體區段之間且包含石英外殼,石英外殼具有背面、正面、外側周邊腳、徑向腳及同軸氣體入口,同軸氣體入口與外殼流體連通。同軸氣體入口具有內側管與外側管。內側管與外殼的徑向腳的正面中的淨化氣體通口流體連通。外側管與外殼的外側周圍腳的正面中的淨化氣體通口流體連通。淨化氣體區段之每一者由至少兩個懸吊桿懸吊自冷卻板材。每一懸吊桿包含金屬桿主體,金屬桿主體穿過楔形外殼的背面中的開口。桿主體具有放大下端,放大下端放置在外殼內的石英框架內。矽墊圈被放置在石英框架內,並圍繞放大下端。
石英圓盤位於中央軸。複數個反應氣體區段與淨化氣體區段,圍繞石英圓盤的外側邊緣交替設置。石英圓盤包含至少一個真空通口與至少一個淨化氣體通口。石英圓盤可藉由複數個懸吊桿被懸吊自冷卻板材。每一懸吊桿包含金屬桿主體,金屬桿主體穿過外殼的背面中的開口。桿主體具有放大下端,放大下端放置在外殼內的石英框架內。矽墊圈被放置在石英框架內,並圍繞放大下端。
本揭示內容的進一步具體實施例,關於包含氣體分配組件與基座組件的處理腔室。氣體分配組件包含冷卻板材、石英圓盤、複數個反應氣體區段以及複數個淨化氣體區段。石英圓盤可藉由複數個懸吊桿被懸吊自冷卻板材的中央軸。石英圓盤在石英圓盤正面中包含至少一個真空通口與至少一個淨化氣體通口。
複數個反應氣體區段圍繞石英圓盤的外側邊緣徑向設置。每一反應氣體區段藉由至少三個懸吊桿被懸吊自冷卻板材。反應氣體區段之每一者包含石英楔形外殼,外殼具有背面、正面以及同軸氣體入口,同軸氣體入口與楔形外殼流體連通。同軸氣體入口具有內側管與外側管。內側管與楔形外殼內的氣室流體連通,且反應氣體區段包含鄰接氣室的擴散板材。擴散板材包含複數個孔,以允許氣體流過內側管以傳入氣室並擴散通過複數個孔並流出楔形外殼的正面進處理腔室的處理區域。外側管與楔形外殼的正面中的真空通口流體連通。真空通口圍繞反應氣體通口的擴散板材。
複數個淨化氣體區段圍繞石英圓盤的外側邊緣徑向設置,並與反應氣體區段交替。每一淨化氣體區段包含石英外殼,石英外殼具有背面、正面、外側周邊腳、徑向腳及同軸氣體入口,同軸氣體入口與外殼流體連通。同軸氣體入口具有內側管與外側管。內側管與外殼的徑向腳的正面中的淨化氣體通口流體連通。外側管與外殼的外側周圍腳的正面中的淨化氣體通口流體連通。
每一懸吊桿包含金屬桿主體,金屬桿主體穿過楔形外殼的背面中的開口。桿主體具有放大下端,放大下端放置在外殼內的石英框架內,且矽墊圈被放置在石英框架內圍繞放大下端。
基座組件具有頂表面,頂表面包含複數個凹槽。每一凹槽具有適合支撐基板的尺寸。基座組件具有支撐柱,以旋轉並移動基座組件而在氣體分配組件與基座組件頂表面之間形成縫隙。
在說明本揭示內容的數個示例性具體實施例之前,應瞭解到本揭示內容不受限於下面說明書中所闡述的建置或處理步驟的細節。本揭示內容能夠具有其他具體實施例,並能夠被由各種方式實作或執行。
本文所使用的用詞「基板」,代表形成在基板上的任何基板或材料表面,在製造製程期間內在此基板上執行薄膜處理。例如,可在其上執行處理的基板表面包含的材料,取決於應用,可諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、以及任何其它材料例如金屬、金屬氮化物、金屬合金、其他導電材料。基板包含但不限於半導體晶圓。基板可被暴露至預處理製程,以研磨、蝕刻、還原、氧化、羥基化、退火和/或烘烤基板表面。除了直接在基板自身表面上的薄膜處理以外,在本揭示內容中,所揭示的任何薄膜處理步驟亦可被執行在形成於基板上的下層(under-layer)上(此將於下文更詳細揭示),且用詞「基板表面」意為包含如背景內容所指示的這種下層。因此作為範例,在薄膜/層或部分薄膜/層已被沈積至基板表面上的情況中,新沈積的薄膜/層的暴露表面成為基板表面。
如本說明書與附加申請專利範圍中使用的用詞「前驅物」、「反應劑」、「反應器」及類似者,被可互換地使用以指稱可與基板表面反應的任何氣相物質。
第1圖圖示處理腔室100的截面,處理腔室100包含氣體分配組件120以及基座組件140,氣體分配組件120亦被稱為注入器或注入器組件。氣體分配組件120為使用在處理腔室中的任何類型的氣體遞送裝置。氣體分配組件120包含正表面121,正表面121面向基座組件140。正表面121可具有任何數量的或多種的開口,以將氣體流朝向基座組件140遞送。氣體分配組件120亦包含外側邊緣124,在所圖示的具體實施例中外側邊緣124為實質圓形的。
所使用的特定類型的氣體分配組件120,可根據所使用的特定製程而改變。本揭示內容的具體實施例可使用於任何類型的處理系統,其中基座與氣體分配組件之間的縫隙為受控制的。儘管可利用各種類型的氣體分配組件(例如噴淋頭),本揭示內容的具體實施例對於空間氣體分配組件可為特別有用的,空間氣體分配組件具有複數個實質平行的氣體通道。本說明書與附加申請專利範圍中使用的用詞「實質平行」,表示氣體通道的延長軸以大抵相同的方向延伸。氣體通道的平行可具有些微的不完美性。在二元反應中,複數個實質平行的氣體通道,可包含至少一個第一反應氣體A通道、至少一個第二反應氣體B通道、至少一個淨化氣體P通道及(或)至少一個真空V通道。流自一或多個第一反應氣體A通道、一或多個第二反應氣體B通道、以及一或多個淨化氣體P通道的氣體,被導向晶圓的頂表面。一些氣體流跨晶圓表面水平地移動,並透過淨化氣體P通道移出處理區域。從氣體分配組件的一端移動至另一端的基板,將被相應地暴露至每一製程氣體,而在基板表面上形成層。
在一些具體實施例中,氣體分配組件120為由單一注入器單元製成的剛性靜止體。在一或更多個具體實施例中,氣體分配組件120由複數個個別區段(例如注入器單元122)製成,如第2圖所示。可與本揭示內容所說明的各種具體實施例一起使用單件主體或多區段主體。
基座組件140放置在氣體分配組件120下方。基座組件140包含頂表面141與頂表面141中的至少一個凹槽142。基座組件140亦具有底表面143與邊緣144。凹槽142可為任何適合的形狀與尺寸,此係根據所處理的基板60的形狀與尺寸。在第1圖圖示的具體實施例中,凹槽142具有平坦底部以支撐晶圓的底部;然而,凹槽的底部可能改變。在一些具體實施例中,凹槽在凹槽的外圍邊緣周圍具有階梯狀區域,階梯狀區域的尺寸定為支撐晶圓的外圍邊緣。由階梯支撐的晶圓的外圍邊緣量可改變,此係根據(例如)晶圓厚度以及已存在晶圓背側上的特徵。
在一些具體實施例中,如第1圖所示,基座組件140的頂表面141中的凹槽142的尺寸,定為使得支撐在凹槽142中基板60的頂表面61與基座140的頂表面141實質共平面。本說明書與附加申請專利範圍中使用的用詞「實質共平面」,表示晶圓頂表面與基座組件頂表面共平面且相差正負0.2 mm之內。在一些具體實施例中,頂表面共平面且相差正負0.15 mm內、正負0.10 mm內或正負0.05 mm內。
第1圖的基座組件140包含支撐柱160,支撐柱160能夠升舉、降低並旋轉基座組件140。基座組件可包含加熱器、或氣體線、或電性部件於支撐柱160的中心內。支撐柱160可為增加或減少基座組件140與氣體分配組件120之間縫隙的主要構件,並將基座組件140移動入適當的位置。基座組件140亦可包含微調致動器162,微調致動器162可對基座組件140進行微小的調整,以在基座組件140與氣體分配組件120之間產生預定縫隙170。
在一些具體實施例中,縫隙170距離的範圍為約0.1 mm至約5.0 mm、或為約0.1 mm至約3.0 mm、或為約0.1 mm至約2.0 mm、或為約0.2 mm至約1.8 mm、或為約0.3 mm至約1.7 mm、或為約0.4 mm至約1.6 mm、或為約0.5 mm至約1.5 mm、或為約0.6 mm至約1.4 mm、或為約0.7 mm至約1.3 mm、或為約0.8 mm至約1.2 mm、或為約0.9 mm至約1.1 mm、或約1 mm。
圖式所示的處理腔室100為旋轉料架型腔室,其中基座組件140可固持複數個基板60。如第2圖圖示,氣體分配組件120可包含複數個個別的注入器單元122,在晶圓移動於注入器單元下方時,每一注入器單元122能夠在晶圓上沈積薄膜。兩個派形注入器單元122被圖示為放置在基座組件140的大約相對側,並於基座組件140上方。此注入器單元122數量僅為了示例說明目的而被圖示。將瞭解到,可包含更多或更少的注入器單元122。在一些具體實施例中,派形注入器單元122的數量足以形成符合基座組件140形狀的形狀。在一些具體實施例中,各別派形注入器單元122之每一者可被獨立移動、移除及(或)更換,而不影響任何其他注入器單元122。例如,一個分段可被升高,以允許機器人存取基座組件140與氣體分配組件120之間的區域,以裝載/卸載基板60。
可使用具有多個氣體注入器的處理腔室以同時處理多個晶圓,使得晶圓經歷相同的製程流程。例如第3圖所示,處理腔室100具有四個氣體注入器組件與四個基板60。在處理開始時,基板60可被放置在注入器組件30之間。旋轉17基座組件140 45度,將使得氣體分配組件120之間的每一基板60被移動至氣體分配組件120以進行薄膜沈積,如氣體分配組件120下的點狀線圓圈所圖示。額外的45度旋轉將使基板60移離注入器組件30。基板60與氣體分配組件120的數量可為相同或不同。在一些具體實施例中,氣體分配組件的數量與所處理的晶圓數量相同。在一或更多個具體實施例中,所處理的晶圓數量,為氣體分配組件數量的一小部分或整數倍。例如,若有四個氣體分配組件,則處理4x個晶圓,其中x為大於或等於一的整數值。在示例性具體實施例中,氣體分配組件120包含由氣簾隔開的八個處理區域,且基座組件140可固持六個晶圓。
第3圖圖示的處理腔室100僅代表一種可能的配置,且不應被當作對本揭示內容的範圍形成限制。在此,處理腔室100包含複數個氣體分配組件120。在所圖示的具體實施例中,有四個氣體分配組件(亦稱為注入器組件30)平均沿著處理腔室100間隔開。所圖示的處理腔室100為八角形;然而,在本發明技術領域中具有通常知識者將瞭解到這僅是一種可能的形狀,而不應被當作對本揭示內容的範圍形成限制。所圖示的氣體分配組件120為梯形,但可為單一圓形部件或可由複數個派形分段製成(如第2圖所示)。
第3圖圖示的具體實施例包含裝載腔室180或輔助腔室(像是緩衝站)。此腔室180被連接至處理腔室100的一側,以允許(例如)基板(亦稱為基板60)被裝載至/卸載自腔室100。晶圓機器人可被放置在腔室180中,以將基板移動到基座上。
旋轉料架(例如基座組件140)的旋轉可為連續的或間斷的(非連續的)。在連續處理中,晶圓固定地旋轉,使得晶圓被相應暴露至每一注入器。在非連續處理中,晶圓可被移動至注入器區域並停止,且隨後被移動至注入器之間的區域84並停止。例如,旋轉料架可旋轉而使晶圓從注入器間區域跨注入器移動(或鄰接注入器停止),並前往下一個注入器間區域,其中旋轉料架可再次暫停。在注入器之間暫停,可在每一層沈積之間提供時間以進行額外的處理步驟(例如暴露至電漿)。
第4圖圖示氣體分配組件220的區段或部分,此可被稱為注入器單元122。注入器單元122可被各別使用或與其他注入器單元結合。例如第5圖圖示,結合四個第4圖的注入器單元122以形成單一氣體分配組件220。(為了清楚說明,未圖示分隔四個注入器單元的線。)儘管除了淨化氣體通口155與真空通口145以外第4圖的注入器單元122具有第一反應氣體通口125與第二氣體通口135,注入器單元122不需要這些部件的全部。
參照第4圖與第5圖,根據一或更多個具體實施例的氣體分配組件220可包含複數個區段(或注入器單元122),且每一區段相同或不同。氣體分配組件220被放置在處理腔室內,且包含複數個延長氣體通口125、135、145於氣體分配組件220的正表面121中。複數個延長氣體通口125、135、145、155從鄰接內側周圍邊緣123的區域延伸朝向鄰接氣體分配組件220的外側周圍邊緣124的區域。所圖示的複數個氣體通口包含第一反應氣體通口125、第二氣體通口135、真空通口145以及淨化氣體通口155,真空通口145圍繞第一反應氣體通口與第二反應氣體通口之每一者。
參照第4圖或第5圖圖示的具體實施例,雖然通口從至少約內側周圍區域延伸至至少約外部周圍區域,然而通口可延伸更多而非僅從內側區域徑向延伸至外部區域。通口可正切地延伸,如真空通口145圍繞反應氣體通口125與反應氣體通口135。在第4圖與第5圖圖示的具體實施例中,楔形反應氣體通口125、135由真空通口145圍繞於所有邊緣上,包含鄰接內側周圍區域與外側周圍區域的區域。
參照第4圖,隨著基板沿著路徑127移動,基板表面的每一部分暴露至各種反應氣體。為了路徑127,基板將被暴露至(或「看到」)淨化氣體通口155、真空通口145、第一反應氣體通口125、真空通口145、淨化氣體通口155、真空通口145、第二氣體通口135及真空通口145。因此,在第4圖圖示的路徑127的末端處,基板已被暴露至第一反應氣體與第二反應氣體以形成層。所圖示的注入器單元122形成四分之一圓,但可為更大或更小。第5圖圖示的氣體分配組件220可被視為四個第4圖的注入器單元122串聯連接的結合。
第4圖的注入器單元122圖示分隔反應氣體的氣簾150。用詞「氣簾」被使用於說明任何氣體流或真空的結合,氣體流或真空分隔反應氣體使其不混合。第4圖圖示的氣簾150包含在第一反應氣體通口125旁的真空通口145的部分、中央的淨化氣體通口155以及在第二氣體通口135旁的真空通口145的部分。可使用此氣體流與真空的結合,以防止或最小化第一反應氣體與第二反應氣體的氣相反應。
參照第5圖,來自氣體分配組件220的氣體流與真空的結合,形成複數個處理區域250中的間隔。處理區域由150至250之間的氣簾,大抵界定在個別氣體通口125、135周圍。第5圖圖示的具體實施例組成八個個別的處理區域250,且其間有八個個別的氣簾150。處理腔室可具有至少兩個處理區域。在一些具體實施例中,存在至少三個、四個、五個、六個、七個、八個、九個、十個、十一個、或十二個處理區域。
在處理期間內,在任意給定時間處基板可被暴露至多於一個處理區域250。然而,暴露至不同處理區域的部分,將具有分隔兩處理區域的氣簾。例如,若基板的前緣進入包含第二氣體通口135的處理區域,基板的中央部分將在氣簾150之下,且基板的尾緣將處於包含第一反應氣體通口125的處理區域中。
工廠介面280(例如可為裝載腔室)被圖示為連接至處理腔室100。基板60被圖示為疊接於氣體分配組件220上以提供參考框架。基板60可時常位於基座組件上以被固持在氣體分配組件120的正表面121附近。基板60被經由工廠介面280載入處理腔室100,至基板支座或基座組件上(見第3圖)。基板60可被圖示為放置在處理區域內,因為基板被放置為鄰接第一反應氣體通口125且在兩個氣簾150a、150b之間。沿著路徑127旋轉基板60,將把基板沿著處理腔室100周圍以反時針方向移動。因此,基板60將被暴露於第一處理區域250a至第八處理區域250h,包含所有其間的處理區域。
本揭示內容的具體實施例係關於處理方法,包含具有複數個處理區域250a-250h的處理腔室100,每一處理區域與鄰接區域間隔一氣簾150。例如第5圖圖示的處理腔室。處理腔室內的氣簾與處理區域的數量可為任何適合的數量,此係根據氣體流的設置。第5圖圖示的具體實施例具有八個氣簾150與八個處理區域250a-250h。氣簾的數量一般而言等於或大於處理區域的數量。
複數個基板60被放置在基板支座上,例如第1圖與第2圖圖示的基座組件140。複數個基板60被沿著處理區域旋轉以進行處理。一般而言,在全體處理期間內氣簾150被接合(氣體流與真空開啟),包含沒有反應氣體流入腔室的時間期間。
第一反應氣體A流入一或更多個處理區域250,同時惰性氣體流入沒有流入第一反應氣體A的任何處理區域250。例如,若第一反應氣體流入處理區域250b至處理區域250h,則惰性氣體將流入處理區域250a。惰性氣體可流動通過第一反應氣體通口125或第二氣體通口135。
處理區域內的惰性氣體流,可為固定的或變化的。在一些具體實施例中,反應氣體與惰性氣體共同流動。惰性氣體將作為載體與稀釋劑。由於反應氣體的量相對於載體氣體為小的,共同流動可較輕易平衡處理區域之間的氣體壓力(藉由降低鄰接區域之間的氣體壓力)。
本揭示內容的一些具體實施例係關於氣體分配組件,氣體分配組件包含非金屬性區段環形陣列以及淨化區段陣列,非金屬性區段環形陣列用於前驅物氣體注入與真空抽吸,而淨化區域陣列提供製程淨化與周邊淨化。一些具體實施例在獨立淨化氣體注入與真空設施內包含個別的中央真空/淨化注入件。可透過同軸管線設置將媒介引入注入器與淨化區段。在一些具體實施例中,各種區段彈性懸吊自腔室頂部的結構性冷卻板材。
一或更多個具體實施例有益地提供非金屬性區段,非金屬性區段由最少的(或沒有)金屬污染物提供氣體流。一些具體實施例有益地提供可使用在高溫下的氣體注入器,由於熔融與浸出問題,由傳統部件材料是無法使用在高溫下的。一些具體實施例有益地提供具有較低導熱率的注入器部件,以允許使用較低的電力加熱。
參照第6圖,氣體分配組件600的一些具體實施例包含冷卻板材620,冷卻板材620具有徑向設置圍繞中央軸605的複數個反應氣體區段700、710以及複數個淨化氣體區段800。在所圖示的具體實施例中,反應氣體區段700與反應氣體區段710傳遞不同的氣體,且可由相同或不同的材料製成。
第7圖圖示根據本揭示內容的一或更多個具體實施例的冷卻板材620。冷卻板材620包含導熱主體622,導熱主體622具有頂表面624、側壁626以及底表面628。
一些具體實施例的導熱主體622具有延伸穿過板材的通道630,以允許流體流冷卻導熱主體622。通道630可被嵌入冷卻板材620的主體內,或被形成為由背板覆蓋的凹槽通道。通道可由迂迴路徑透過(或跨)導熱主體622從入口端631延伸至出口端632,以確保主體622被由流過通道630的流體均勻冷卻。入口端631與出口端632可連接至流體集點635,流體集點635允許對入口線636與出口線637流體連通。
導熱主體622可由任何適合的導熱材料製成。適合的材料包含(但不限於)鋁與不銹鋼。在一些具體實施例中,導熱主體622包含鋁。
複數個氣體連結640可連接至導熱主體622的頂表面624。氣體連結640可經配置以允許一或更多個流體線被附接至連結640,以允許流體流(例如反應氣體、淨化氣體、真空)通過導熱主體622。第8圖圖示氣體連結640的具體實施例的截面,氣體連結640具有流入同軸氣體饋送點的兩個入口641、642。第一氣體源(未圖示)或真空可被連接至氣體連結640頂部643上的第一入口641。氣體可流動通過第一入口641進入內側管644且進入區域645。第二氣體源(未圖示)或真空可被連接至氣體連結640側邊649上的第二入口642。氣體可流動通過第二入口642進入腳646與外側管647進入區域648。這允許兩種不同的氣體或真空(或氣體/真空的結合)被連接至單一氣體連結640,並允許彼此隔離的區域645、648之間的流體連通。第8圖中圖示的氣體連結640示例說明一種可能的連結,且不應被當作對本揭示內容的範圍形成限制。在本發明技術領域中具有通常知識者將瞭解到,本揭示內容的範圍內可具有其他氣體連結與連結配置。
第9圖至第11圖圖示反應氣體區段710的具體實施例。反應氣體區段710可結構性相同於反應氣體區段720(圖示於第6圖)或具有不同的結構、尺寸等等。反應氣體區段710具有楔形外殼722,楔形外殼722具有內側周邊端723、外側周邊端724、第一側725、第二側726、背面727以及正面728。
同軸氣體入口730與楔形外殼722流體連通,並被圖示為連接至背面727。同軸氣體入口730具有內側管731與外側管732。同軸氣體入口730可具有類似於第8圖圖示之氣體連結640的配置。在一些具體實施例中,同軸氣體入口730通過冷卻板材620的導熱主體622,並作為氣體連結640與同軸氣體入口730。
內側管731與楔形外殼722內的氣室740流體連通。擴散板材742放置為鄰接氣室740,且擴散板材742包含複數個孔744,以允許氣體流過內側管731以傳入氣室740並通過複數個孔744擴散進擴散板材742,並流出楔形外殼722的正面728進處理腔室的處理區域750。處理區域750為放置為鄰接楔形外殼722的正面728的區域,其中可發生對基板的表面反應。
外側管732與楔形外殼722的正面728中的真空通口760流體連通。在同軸氣體入口730一側中的複數個環形開口733,允許在內側管731與外側管732中的不同氣體串流(例如反應氣體與真空)的同軸連結。如第10圖圖示,真空通口760圍繞反應氣體通口710的擴散板材742。此配置幫助最小化來自從處理區域750擴散進處理腔室其他區域中的反應物質。如第11圖的部分截面圖所圖示,真空通口760透過氣室762與孔764流體連通至外側管732。外側管732可被鍛接至同軸氣體入口730頂部的內側管731。
在一些具體實施例中,反應氣體區段710的個別層每一者係由石英製成,且被組合並融合成單一部件。對反應氣體區段710的層使用石英,最小化產生金屬污染物的機會。
反應氣體區段710、720之每一者藉由複數個懸吊桿850被懸吊自冷卻板材620。一些具體實施例的懸吊桿併入封裝球接頭,以懸吊區段並允許區段相對於冷卻板材移動,以容許(例如)熱膨脹。第12圖圖示懸吊桿850的具體實施例,懸吊桿850包含金屬桿主體852,金屬桿主體852穿過楔形外殼722的背面727中的開口729。在第12圖圖示的具體實施例中,桿主體852亦延伸穿過冷卻板材620的導熱主體622中的開口629。在本發明技術領域中具有通常知識者將瞭解到,這僅代表一種可能的配置,且不應被當作對本揭示內容的範圍形成限制。
桿主體852可由任何適合的材料製成,此種材料可支撐區段重量,並承受在處理腔室內遭受的溫度擾動。適合用於桿主體852的材料包含(但不限於)鎢、鉬、或可承受用於組合區段部件之融合製程的其他高溫金屬。
桿主體852具有放大的下端854,下端854放置在楔形外殼722內的石英框架860內。墊圈865被放置在石英框架860內,並延伸圍繞桿主體852的放大下端854。墊圈865可由任何適合的材料製成,包含(但不限於)矽。
在第12圖圖示的具體實施例中,密封外殼870被放置為鄰接區段710的背面727。密封外殼870具有兩個O形環872、873,以形成桿主體852周圍的密封。O形環包含O形環872與O形環873,O形環872圍繞桿主體852以形成桿主體852與密封外殼870之間的密封,O形環873在密封外殼870與區段710背面727之間形成密封。
第12圖的具體實施例亦圖示穿過冷卻板材的導熱主體622的桿主體852;然而,在本發明技術領域中具有通常知識者將瞭解到這僅是一種可能的配置,且桿主體852不需穿過冷卻板材620。所圖示的桿主體852包含框架861內的放大上端856,以及其中的墊圈866。框架861可由任何適合的材料製成,包含(但不限於)石英、鋁或不銹鋼。因為框架861並非位於區段內部,可利用金屬性材料而不會產生金屬污染物問題。可使用螺絲868或其他緊固部件,將框架861連接至導熱主體622或其他部件。可使用彈簧880或其他壓縮部件,以對區段提供額外的支撐。
可由任何適合數量的懸吊桿懸吊反應氣體區段710、720。在一些具體實施例中,反應氣體區段710、720之每一者由至少兩個懸吊桿850懸吊。在一些具體實施例中,反應氣體區段710、720之每一者由至少三個懸吊桿850懸吊。
如第6圖圖示,複數個淨化氣體區段800被沿著中央軸605徑向設置。淨化氣體區段800之每一者被放置在反應氣體區段710、720之間,使得每一淨化氣體區段710、720與鄰接的反應氣體區段由至少一個淨化氣體區段800隔開。在一些具體實施例中,可將多於一個反應氣體區段放置為鄰接彼此,而其間沒有淨化氣體區段800。
第13圖至第15圖圖示根據本揭示內容的一或更多個具體實施例的淨化氣體區段800。淨化氣體區段800包含石英外殼802,石英外殼802具有背面803、正面804、外側周邊腳805以及徑向腳806及內側周邊端807。淨化氣體區段800包含同軸氣體入口730,同軸氣體入口730與外殼802流體連通。同軸氣體入口730類似於反應氣體區段的同軸氣體入口,且具有內側管731與外側管732。
內側管731與外殼802的徑向腳806的正面804中的淨化氣體通口810流體連通。第15圖圖示的具體實施例具有內側管731,內側管731與氣室812流體連通。氣室812具有擴散板材814,擴散板材814具有延伸通過擴散板材814的複數個孔816。流動通過內側管731的氣體將傳入氣室812、通過擴散板材814流入孔816並流出外殼802的徑向腳806的正面804通過淨化氣體通口810。
外側管732與外殼802的外側周圍腳805的正面804中的淨化氣體通口820流體連通。第15圖圖示的具體實施例具有內側管732,內側管732與氣室812流體連通。氣室具有擴散板材814,擴散板材814具有延伸通過擴散板材824的複數個孔826。流動通過外側管732的氣體將傳入氣室822、通過擴散板材824流入孔826並流出外殼802的外側周圍腳805的正面804通過淨化氣體通口820。
在一些具體實施例中,淨化氣體區段800之每一者由至少兩個懸吊桿850懸吊自冷卻板材620。在一些具體實施例中,淨化氣體區段800之每一者由至少三個懸吊桿850懸吊自冷卻板材620。
如第6圖圖示的一些具體實施例,包含石英圓盤650於氣體分配組件600的中央軸605處。第16圖至第19圖圖示根據本揭示內容的一或更多個具體實施例的石英圓盤650。石英圓盤650具有主體651與外邊緣652、背面653以及正面654。石英圓盤650可被放置在(且作為)中央軸605,使得反應氣體區段710、720之每一者與淨化氣體區段800被交替設置在石英圓盤650的外側邊緣652周圍。
石英圓盤650包含至少一個真空通口660與至少一個淨化氣體通口670。一些具體實施例的石英圓盤650包含(如第18圖圖示)複數個真空通口660與淨化氣體通口670。如第19圖圖示,石英圓盤650的一些具體實施例包含一個真空通口660與一個淨化氣體通口670。儘管第18圖或第19圖未圖示,但可在真空通口660及(或)淨化氣體通口670中形成複數個孔,以允許通口與氣體或真空源之間的流體連通。
真空連結661穿過石英圓盤650的背面653,並與真空氣室662流體連通。在所圖示的具體實施例中,複數個孔663形成真空氣室662與石英圓盤650正面654中的真空通口660之間的流體連結。
淨化氣體連結671穿過石英圓盤650的背面653,並與淨化氣體氣室672流體連通。在所圖示的具體實施例中,複數個孔673形成淨化氣體氣室672與石英圓盤650正面654中的淨化氣體通口670之間的流體連結。
石英圓盤650可藉由複數個懸吊桿850被懸吊自冷卻板材620。在一些具體實施例中,石英圓盤650由至少三個懸吊桿850懸吊自冷卻板材620。在一些具體實施例中,石英圓盤650由四個(或至少四個)懸吊桿850懸吊自冷卻板材620。
根據一或更多個具體實施例,處理設備可包含與移送站溝通的多個腔室。此種設備可被稱為「叢集工具」或「叢集系統」等等。
一般而言,叢集工具為包含多個腔室的模組系統,腔室執行各種功能,包含基板中央尋找與定向、退火、退火、沈積及(或)蝕刻。根據一或更多個具體實施例,叢集工具至少包含第一腔室與中央移送腔室。中央移送腔室可容納機器人,機器人可在處理腔室與裝載腔室之間傳送基板。移送腔室通常被維持為真空條件,並提供用於將基板從一個腔室傳送至另一腔室及(或)裝載腔室的中間級,裝載腔室放置在叢集工具的前端。可經調適為受益於本發明的兩種熟知叢集工具,為CenturaTM與Endura® (由美國加州聖塔克拉拉市的應用材料公司發售)。然而,可為了執行本文所說明的製程特定步驟,改變腔室的實際設置與結合。可使用的其他處理腔室包含(但不限於)循環層沈積(CLD)、原子層沈積(ALD)、化學氣相沈積(CVD)、物理氣相沈積(PVD)、蝕刻、預清洗、化學清洗、熱處理(諸如RTP)、電漿氮化、退火、定向、羥基化以及其他基板製程。藉由在叢集工具上的腔室中施行製程,可避免大氣雜質對基板產生的表面污染物,而不需在沈積薄膜之前進行氧化。
根據一或更多個具體實施例,基板持續在真空或「裝載」條件下,且在從一個腔室移動至下一個腔室時不會暴露至環境空氣。因此移送腔室位於真空下,且在真空壓力下被「抽氣」。惰性氣體可存在處理腔室或移送氣體中。在一些具體實施例中,使用惰性氣體作為淨化氣體,以移除反應劑的一些或全部。根據一或更多個具體實施例,在沈積腔室出口處注入淨化氣體,以防止反應劑從沈積腔室移動至移送腔室及(或)額外的處理腔室。因此,惰性氣體流在腔室出口處形成簾。
可在單一基板沈積腔室中處理基板,在其中裝載、處理並卸載單一基板,在處理另一基板之前。基板亦可被由連續方式(類似於輸送系統)處理,其中多個基板被個別載入腔室第一部分、移動通過腔室並從腔室第二部分卸載。腔室的形狀以及相關聯的輸送系統可形成筆直路徑或曲線路徑。此外,處理腔室可為旋轉料架,其中多個基板被沿著中央軸移動,並在旋轉料架路徑中被暴露至沈積、蝕刻、退火、清洗等製程。
在處理過程中,基板可被加熱或冷卻。可由任何適合的構件完成此種加熱或冷卻,包含(但不限於)改變基板支座的溫度,以及使加熱氣體或冷卻氣體流至基板表面。在一些具體實施例中,基板支座包含加熱器/冷卻器,可控制加熱器/冷卻器以傳導性地改變基板溫度。在一或更多個具體實施例中,所利用的氣體(反應氣體或惰性氣體)被加熱或冷卻,以局部改變基板溫度。在一些具體實施例中,加熱器/冷卻器被放置在腔室內鄰接基板表面,以對流性地改變基板溫度。
基板亦可在處理期間被固定或被旋轉。旋轉基板可被連續旋轉或分段旋轉。例如,可在整體製程期間旋轉基板,或可在暴露至不同反應氣體或淨化氣體之間少量旋轉基板。在處理期間旋轉基板(連續或分段地),可幫助藉由最小化(例如)氣體流幾何形狀中的局部變異性的效應,產生更均勻的沈積或蝕刻。
在原子化層沈積類型腔室中,基板可被暴露至第一與第二前驅物,空間或時間分隔的製程。時間性ALD為傳統製程,其中第一前驅物流入腔室以與表面反應。第一前驅物被從腔室淨化,在流入第二前驅物之前。在空間性ALD中,第一與第二前驅物同時流入腔室但被空間性地分隔,使得流之間存在防止前驅物混合的區域。在空間性ALD中,使基板相對於氣體分配板材移動,或使氣體分配板材相對於基板移動。
在具體實施例中,在方法的部分的一或更多者發生在一個腔室中的情況中,製程可為空間性ALD製程。雖然上文說明的化學的一或更多者可不相容(亦即產生反應,除了在基板表面上及(或)沈積在腔室上),但空間性分隔確保在氣相中反應劑不暴露至彼此。例如,時間性ALD涉及淨化沈積腔室。然而實際上,有時不可能在流入額外反應劑之前將過量反應劑淨化出腔室。因此,遺留在腔室中的任何反應劑可反應。使用空間性分隔,過量的反應劑可不需被淨化,且限制了交互污染。再者,淨化腔室可使用許多時間,因此,消除淨化步驟可提升產量。
本說明書中對於「一個具體實施例」、「一些具體實施例」、「一或更多個具體實施例」或「一具體實施例」的參照,表示在本揭示內容的至少一個具體實施例中包含連同具體實施例所說明的特定特徵、結構、材料或特性。因此,在本說明書中各處出現諸如「在一或更多個具體實施例中」、「在一些具體實施例中」、「在一個具體實施例中」或「在一具體實施例中」的詞語,並非必需參照本揭示內容的相同具體實施例。再者,在一或更多個具體實施例中,特定特徵、結構、材料或特性可被由任何適合的方式結合。
雖然已參照特定具體實施例說明了本文的揭示內容,應瞭解到,這些具體實施例僅說明本揭示內容的原理與應用。在本發明技術領域中具有通常知識者將明瞭到,可對本揭示內容的方法與設備進行各種修改與變異,而不脫離本揭示內容的精神與範圍。因此,本揭示內容意為包含位於附加申請專利範圍及其均等範圍內的修改與變異。
17‧‧‧旋轉 60‧‧‧基板 61‧‧‧頂表面 84‧‧‧注入器之間的區域 100‧‧‧處理腔室 120‧‧‧氣體分配組件 121‧‧‧正表面 122‧‧‧注入器單元 123‧‧‧內側周圍邊緣 124‧‧‧外側周圍邊緣 125‧‧‧第一反應氣體通口 127‧‧‧路徑 135‧‧‧第二氣體通口 140‧‧‧基座組件 141‧‧‧頂表面 142‧‧‧凹槽 143‧‧‧底表面 144‧‧‧邊緣 145‧‧‧真空通口 150‧‧‧氣簾 155‧‧‧淨化氣體通口 160‧‧‧支撐柱 162‧‧‧致動器 180‧‧‧裝載腔室 250‧‧‧處理區域 250a-250h‧‧‧第一處理區域至第八處理區域 280‧‧‧工廠介面 600‧‧‧氣體分配組件 605‧‧‧中央軸 620‧‧‧冷卻板材 622‧‧‧導熱主體 624‧‧‧頂表面 626‧‧‧側壁 628‧‧‧底表面 629‧‧‧開口 630‧‧‧通道 631‧‧‧入口端 632‧‧‧出口端 635‧‧‧流體集點 636‧‧‧入口線 637‧‧‧出口線 640‧‧‧氣體連結 641‧‧‧入口 642‧‧‧入口 643‧‧‧頂部 644‧‧‧內側管 645‧‧‧區域 646‧‧‧腳 647‧‧‧外側管 648‧‧‧區域 649‧‧‧側邊 650‧‧‧石英圓盤 651‧‧‧主體 652‧‧‧外邊緣 653‧‧‧背面 654‧‧‧正面 660‧‧‧真空通口 661‧‧‧真空連結 662‧‧‧真空氣室 663‧‧‧孔 670‧‧‧淨化氣體通口 671‧‧‧淨化氣體連結 672‧‧‧淨化氣體氣室 673‧‧‧孔 700‧‧‧反應氣體區段 710‧‧‧反應氣體區段 722‧‧‧楔形外殼 723‧‧‧內側周邊端 724‧‧‧外側周邊端 725‧‧‧外側周邊端 726‧‧‧第二側 727‧‧‧背面 728‧‧‧正面 729‧‧‧開口 730‧‧‧同軸氣體入口 731‧‧‧內側管 732‧‧‧外側管 733‧‧‧環形開口 740‧‧‧氣室 742‧‧‧擴散板材 744‧‧‧孔 750‧‧‧處理區域 760‧‧‧真空通口 762‧‧‧氣室 764‧‧‧孔 800‧‧‧淨化氣體區段 802‧‧‧石英外殼 803‧‧‧背面 804‧‧‧正面 805‧‧‧外側周邊腳 806‧‧‧徑向腳 807‧‧‧內側周邊端 810‧‧‧淨化氣體通口 812‧‧‧氣室 814‧‧‧擴散板材 816‧‧‧孔 820‧‧‧淨化氣體通口 822‧‧‧氣室 824‧‧‧擴散板材 826‧‧‧孔 850‧‧‧懸吊桿 852‧‧‧金屬桿主體 854‧‧‧放大下端 856‧‧‧放大上端 860‧‧‧石英框架 861‧‧‧框架 865‧‧‧墊圈 866‧‧‧墊圈 868‧‧‧螺絲 870‧‧‧密封外殼 872‧‧‧O形環 873‧‧‧O形環 880‧‧‧彈簧
可參考多個具體實施例以更特定地說明以上簡要總結的本揭示內容,以更詳細瞭解本揭示內容的上述特徵,附加圖式圖示說明了其中一些具體實施例。然而應注意到,附加圖式僅圖示說明本揭示內容的典型具體實施例,且因此不應被視為限制本揭示內容的範圍,因為揭示內容可允許其他等效的具體實施例。
第1圖圖示根據本揭示內容的一或更多個具體實施例的批次處理腔室的截面圖。
第2圖圖示根據本揭示內容的一或更多個具體實施例的批次處理腔室的部分透視圖。
第3圖圖示根據本揭示內容的一或更多個具體實施例的批次處理腔室的示意圖。
第4圖圖示根據本揭示內容的一或更多個具體實施例的用於使用在批次處理腔室中的楔形氣體分配組件的部分的示意圖。
第5圖圖示根據本揭示內容的一或更多個具體實施例的批次處理腔室的示意圖。
第6圖圖示根據本揭示內容的一或更多個具體實施例的氣體分配組件的示意正面圖。
第7圖圖示根據本揭示內容的一或更多個具體實施例的冷卻板材的透視圖。
第8圖圖示根據本揭示內容的一或更多個具體實施例的氣體連結的部分截面圖。
第9圖圖示根據本揭示內容的一或更多個具體實施例的反應氣體通口的頂部透視圖。
第10圖圖示根據本揭示內容的一或更多個具體實施例的反應氣體通口的正面。
第11圖圖示第9圖中沿著線11-11的反應氣體通口的截面圖。
第12圖圖示根據本揭示內容的一或更多個具體實施例的懸吊桿的部分截面圖。
第13圖圖示根據本揭示內容的一或更多個具體實施例的淨化氣體通口的透視圖。
第14圖圖示根據本揭示內容的一或更多個具體實施例的淨化氣體通口的正透視圖。
第15圖圖示第13圖中沿著線15-15的淨化氣體通口的截面圖。
第16圖圖示根據本揭示內容的一或更多個具體實施例的石英圓盤的透視圖。
第17圖圖示根據本揭示內容的一或更多個具體實施例的石英圓盤的部分截面圖。
第18圖圖示根據本揭示內容的一或更多個具體實施例的石英圓盤的正面。
第19圖圖示根據本揭示內容的一或更多個具體實施例的石英圓盤的正面。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
600‧‧‧氣體分配組件
605‧‧‧中央軸
620‧‧‧冷卻板材
628‧‧‧底表面
650‧‧‧石英圓盤
700‧‧‧反應氣體區段
710‧‧‧反應氣體區段
800‧‧‧淨化氣體區段

Claims (20)

  1. 一種氣體分配組件,包含: 一冷卻板材;以及 複數個反應氣體區段,該複數個反應氣體區段徑向設置於一中央軸周圍; 複數個淨化氣體區段,該複數個淨化氣體區段徑向設置於該中央軸周圍,該等淨化氣體區段之每一者放置在反應氣體區段之間;以及 位於該中央軸的一石英圓盤。
  2. 如請求項1所述之氣體分配組件,其中該等反應氣體區段之每一者包含一楔形外殼,該楔形外殼具有一背面與一正面。
  3. 如請求項2所述之氣體分配組件,其中該等反應氣體區段之每一者具有一同軸氣體入口,該同軸氣體入口與該楔形外殼流體連通,該同軸氣體入口具有一內側管與一外側管。
  4. 如請求項3所述之氣體分配組件,其中該內側管與該楔形外殼內的一氣室流體連通,且該反應氣體區段包含鄰接該氣室的一擴散板材,該擴散板材包含複數個孔,以允許流動通過該內側管的一氣體傳入該氣室中,並擴散通過該複數個孔並流出該楔形外殼的該正面中的一反應氣體通口,流入一處理腔室的一處理區域。
  5. 如請求項4所述之氣體分配組件,其中該外側管與該楔形外殼的該正面中的一真空通口流體連通,該真空通口圍繞該反應氣體通口的該擴散板材。
  6. 如請求項5所述之氣體分配組件,其中該楔形外殼與擴散板為石英。
  7. 如請求項6所述之氣體分配組件,其中該等反應氣體區段之每一者藉由複數個懸吊桿被懸吊自該冷卻板材。
  8. 如請求項7所述之氣體分配組件,其中每一懸吊桿包含一金屬桿主體,該金屬桿主體穿過該楔形外殼的該背面中的一開口,該桿主體具有一放大下端,該放大下端放置在該楔形外殼內的一石英框架內,且一矽墊圈被放置在該石英框架內圍繞該放大下端。
  9. 如請求項8所述之氣體分配組件,其中每一反應氣體區段藉由至少三個懸吊桿被懸吊自該冷卻板材。
  10. 如請求項1所述之氣體分配組件,其中該複數個淨化氣體區段之每一者包含一外殼,該外殼具有一背面、一正面、一外側周邊腳以及一徑向腳。
  11. 如請求項10所述之氣體分配組件,其中該等淨化氣體區段之每一者具有一同軸氣體入口,該同軸氣體入口與該外殼流體連通,該同軸氣體入口具有一內側管與一外側管。
  12. 如請求項11所述之氣體分配組件,其中該內側管與該外殼的該徑向腳的該正面中的一淨化氣體通口流體連通,且該外側管與該外殼的該外側周邊腳的該正面中的一淨化氣體通口流體連通。
  13. 如請求項12所述之氣體分配組件,其中該等淨化氣體區段之每一者的該外殼為石英。
  14. 如請求項13所述之氣體分配組件,其中該等淨化氣體區段之每一者藉由複數個懸吊桿被懸吊自該冷卻板材。
  15. 如請求項14所述之氣體分配組件,其中每一懸吊桿包含一金屬桿主體,該金屬桿主體穿過該外殼的該背面中的一開口,該桿主體具有一放大下端,該放大下端放置在該外殼內的一石英框架內,且一矽墊圈被放置在該石英框架內圍繞該放大下端。
  16. 如請求項15所述之氣體分配組件,其中每一淨化氣體區段藉由至少兩個懸吊桿被懸吊自該冷卻板材。
  17. 如請求項1所述之氣體分配組件,該石英圓盤包含一外殼,該外殼具有至少一個真空通口與至少一個淨化氣體通口,且藉由複數個懸吊桿被懸吊自該冷卻板材,每一懸吊桿包含一金屬桿主體,該金屬桿主體穿過該外殼的一背面中的一開口,該桿主體具有一放大下端,該放大下端放置在該外殼內一石英框架內,且一矽墊圈放置在該石英框架內圍繞該放大下端。
  18. 如請求項17所述之氣體分配組件,其中該冷卻板材包含一導熱主體,該導熱主體具有穿過該導熱主體的一通道,以使一流體從該通道的一入口端流至該通道的一出口端。
  19. 一種氣體分配組件,包含: 一冷卻板材,該冷卻板材具有一導熱主體,該導熱主體具有穿過該導熱主體的一通道,以使一流體從該通道的一入口端流至該通道的一出口端;以及 複數個反應氣體區段,該複數個反應氣體區段圍繞一中央軸徑向設置,該等反應氣體區段之每一者包含 一石英楔形外殼,具有一背面與一正面,且一同軸氣體入口與該楔形外殼流體連通, 該同軸氣體入口具有一內側管與一外側管,該內側管與該楔形外殼內的一氣室流體連通,且該反應氣體區段包含鄰接該氣室的一擴散板材,該擴散板材包含複數個孔,以允許一氣體流動通過該內側管以傳入該氣室中,並擴散通過該複數個孔並流出該楔形外殼的該正面中的一反應氣體通口,流入一處理腔室的一處理區域, 該外側管與該楔形外殼的該正面中的一真空通口流體連通,該真空通口圍繞該反應氣體通口的該擴散板材, 每一反應氣體區段由至少三個懸吊桿懸吊自該冷卻板材,每一懸吊桿包含一金屬桿主體,該金屬桿主體穿過該楔形外殼的該背面中的一開口,該桿主體具有一放大下端,該放大下端放置在該楔形外殼內的一石英框架內,且一矽墊圈被放置在該石英框架內圍繞該放大下端; 複數個淨化氣體區段,該複數個淨化氣體區段徑向設置於該中央軸周圍,該等淨化氣體區段之每一者放置在反應氣體區段之間,每一淨化氣體區段包含 一石英外殼,具有一背面、一正面、一外側周圍腳、一徑向腳,且一同軸氣體入口與該外殼流體連通,該同軸氣體入口具有一內側管與一外側管, 該內側管與該外殼的該徑向腳的該正面中的一淨化氣體通口流體連通, 該外側管與該外殼的該外側周圍腳的該正面中的一淨化氣體通口流體連通, 該等淨化氣體區段之每一者由至少兩個懸吊桿懸吊自該冷卻板材,每一懸吊桿包含一金屬桿主體,該金屬桿主體穿過該外殼的該背面中的一開口,該桿主體具有一放大下端,該放大下端放置在該外殼內的一石英框架內,且一矽墊圈被放置在該石英框架內圍繞該放大下端;以及 位於該中央軸的一石英圓盤,該複數個反應氣體區段與淨化氣體區段沿著該石英圓盤的一外側邊緣交替設置,該石英圓盤包含至少一個真空通口與至少一個淨化氣體通口,且該石英圓盤藉由複數個懸吊桿被懸吊自該冷卻板材,每一懸吊桿包含一金屬桿主體,該金屬桿主體穿過該外殼的一背面中的一開口,該桿主體具有一放大下端,該放大下端放置在該外殼內一石英框架內,且一矽墊圈放置在該石英框架內圍繞該放大下端。
  20. 一種處理腔室,包含: 一種氣體分配組件,包含: 一冷卻板材, 一石英圓盤,該石英圓盤藉由複數個懸吊桿懸吊自該冷卻板材的一中央軸,該石英圓盤在該石英圓盤的一正面中包含複數個真空通口以及淨化氣體通口, 複數個反應氣體區段,該複數個反應氣體區段徑向設置於該石英圓盤的一外側邊緣周圍,每一反應氣體區段藉由至少三個懸吊桿懸吊自該冷卻板材,該等反應氣體區段之每一者包含具有一背面與一正面的一石英楔形外殼,且一同軸氣體入口與該楔形外殼流體連通,該同軸氣體入口具有一內側管與一外側管,該內側管與該楔形外殼內的一氣室流體連通,且該反應氣體區段包含鄰接該氣室的一擴散板材,該擴散板材包含複數個孔,以允許一氣體流動通過該內側管以傳入該氣室中,並擴散通過該複數個孔並流出該楔形外殼的該正面中的一反應氣體通口,流入一處理腔室的一處理區域,該外側管與該楔形外殼的該正面中的一真空通口流體連通,該真空通口圍繞該反應氣體通口的該擴散板材, 複數個淨化氣體區段,該複數個淨化氣體區段徑向設置於該石英圓盤的該外側邊緣周圍並與該等反應氣體區段交替,每一淨化氣體區段包含一石英外殼,該石英外殼具有一背面、一正面、一外側周邊腳、一徑向腳,且一同軸氣體入口與該外殼流體連通,該同軸氣體入口具有一內側管與一外側管,該內側管與該外殼的該徑向腳的該正面中的一淨化氣體通口流體連通,該外側管與該外殼的該外側周邊腳的該正面中的一淨化氣體通口流體連通, 每一懸吊桿包含一金屬桿主體,該金屬桿主體穿過該外殼的該背面中的一開口,該桿主體具有一放大下端,該放大下端放置在該外殼內的一石英框架內,且一矽墊圈被放置在該石英框架內圍繞該放大下端;以及 一基座組件,該基座組件具有一頂表面,該頂表面包含位於該頂表面中的複數個凹槽,每一凹槽的尺寸定為支撐一基板,該基座組件具有一支撐柱以旋轉並移動該基座組件,以在該氣體分配組件與該基座組件的該頂表面之間形成一縫隙。
TW105144033A 2016-01-01 2016-12-30 非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統 TWI718226B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662274238P 2016-01-01 2016-01-01
US62/274,238 2016-01-01

Publications (2)

Publication Number Publication Date
TW201734252A true TW201734252A (zh) 2017-10-01
TWI718226B TWI718226B (zh) 2021-02-11

Family

ID=59225488

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105144033A TWI718226B (zh) 2016-01-01 2016-12-30 非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統

Country Status (3)

Country Link
US (1) US10415137B2 (zh)
TW (1) TWI718226B (zh)
WO (1) WO2017117221A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821314B (zh) * 2018-06-18 2023-11-11 美商應用材料股份有限公司 用於改良式泵吹洗及前驅物輸送之氣體分配組件

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
ITMO20130051A1 (it) * 2013-02-27 2014-08-28 Lorenzo Ferioli "valvola di regolazione con recupero energetico"
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190017165A1 (en) * 2017-07-13 2019-01-17 Applied Materials, Inc. Methods And Apparatus For Depositing Tungsten Nucleation Layers
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
CN107841727A (zh) * 2017-12-15 2018-03-27 北京创昱科技有限公司 一种冷却构件及真空镀膜设备
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
WO2019152514A1 (en) * 2018-01-30 2019-08-08 Applied Materials, Inc. Gas injector insert segment for spatial ald
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11282676B2 (en) * 2018-06-18 2022-03-22 Applied Materials, Inc. Paired dynamic parallel plate capacitively coupled plasmas
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
JP7353199B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜装置
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
USD936187S1 (en) * 2020-02-12 2021-11-16 Applied Materials, Inc. Gas distribution assembly lid
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7904453B2 (en) * 2002-10-17 2011-03-08 Poltorak Alexander I Apparatus and method for analyzing patent claim validity
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
WO2007106076A2 (en) * 2006-03-03 2007-09-20 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
WO2007111348A1 (ja) 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20090030492A1 (en) * 2007-07-27 2009-01-29 Cyberonics, Inc. Nerve lead tie down with bearing
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP6157061B2 (ja) 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
KR101394122B1 (ko) 2012-07-19 2014-05-14 주식회사 테스 박막증착장치의 제어방법
KR20140049170A (ko) 2012-10-16 2014-04-25 주식회사 원익아이피에스 기판처리장치
TW201437421A (zh) 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
JP2015035582A (ja) * 2013-07-11 2015-02-19 東京エレクトロン株式会社 成膜システム
US9464353B2 (en) * 2013-11-21 2016-10-11 Wonik Ips Co., Ltd. Substrate processing apparatus
JP5800972B1 (ja) 2014-09-10 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、ガス供給ユニット、カートリッジヘッド及びプログラム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821314B (zh) * 2018-06-18 2023-11-11 美商應用材料股份有限公司 用於改良式泵吹洗及前驅物輸送之氣體分配組件

Also Published As

Publication number Publication date
WO2017117221A1 (en) 2017-07-06
US10415137B2 (en) 2019-09-17
US20170191159A1 (en) 2017-07-06
TWI718226B (zh) 2021-02-11

Similar Documents

Publication Publication Date Title
TWI718226B (zh) 非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統
TWI729101B (zh) 用於旋轉料架基座中的晶圓旋轉的設備及方法
CN107481966B (zh) 用于晶片均匀性的轮廓凹坑和混合基座
US20150345022A1 (en) Apparatus And Methods For Injector To Substrate Gap Control
US10959294B2 (en) High temperature heater for processing chamber
TW201122151A (en) Hot wire chemical vapor deposition (CVD) inline coating tool
US20170244006A1 (en) Parallel plate inline substrate processing tool
US11085129B2 (en) Device to increase deposition uniformity in spatial ALD processing chamber
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体
TWI734770B (zh) 用於防止空間ald處理腔室中之背側沉積的設備
KR20180010331A (ko) 배치 프로세싱을 위한 인젝터 및 사용 방법들
US11332828B2 (en) Gas distribution assembly mounting for fragile plates to prevent breakage
US11581213B2 (en) Susceptor wafer chucks for bowed wafers
WO2019152514A1 (en) Gas injector insert segment for spatial ald