KR20180010331A - 배치 프로세싱을 위한 인젝터 및 사용 방법들 - Google Patents

배치 프로세싱을 위한 인젝터 및 사용 방법들 Download PDF

Info

Publication number
KR20180010331A
KR20180010331A KR1020187001747A KR20187001747A KR20180010331A KR 20180010331 A KR20180010331 A KR 20180010331A KR 1020187001747 A KR1020187001747 A KR 1020187001747A KR 20187001747 A KR20187001747 A KR 20187001747A KR 20180010331 A KR20180010331 A KR 20180010331A
Authority
KR
South Korea
Prior art keywords
reactive gas
port
injector
gas port
injector unit
Prior art date
Application number
KR1020187001747A
Other languages
English (en)
Other versions
KR102462467B1 (ko
Inventor
조셉 유도브스키
케빈 그리핀
맨드얌 스리람
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180010331A publication Critical patent/KR20180010331A/ko
Application granted granted Critical
Publication of KR102462467B1 publication Critical patent/KR102462467B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma & Fusion (AREA)

Abstract

기판을 프로세싱하기 위한 장치 및 방법들은 인젝터 유닛을 포함하며, 그 인젝터 유닛은, 인젝터 유닛의 길이를 따라 연장되는 선행 반응성 가스 포트, 인젝터 유닛의 길이를 따라 연장되는 후행 반응성 가스 포트, 및 선행 반응성 가스 포트 및 후행 반응성 가스 포트 주위에 경계를 형성하고, 선행 반응성 가스 포트 및 후행 반응성 가스 포트를 에워싸는 통합 진공 포트를 포함한다.

Description

배치 프로세싱을 위한 인젝터 및 사용 방법들
[0001] 본 개시내용의 실시예들은 일반적으로, 박막들을 증착하기 위한 장치 및 방법들에 관한 것이다. 특히, 본 개시내용의 실시예들은 원자 층 증착 배치(batch) 프로세싱 인젝터들 및 사용 방법들에 관한 것이다.
[0002] 캐러셀-타입 원자 층 증착(ALD) 배치 프로세싱 챔버들에서, 프로세싱 챔버의 별개의 부분들 내의 다양한 반응성 가스들에 기판이 순차적으로 노출된다. 이러한 ALD 프로세스는 종종, 공간적 ALD라고 지칭되는데, 이는 반응성 가스들이 시간적으로 분리되는 것이 아니라 프로세싱 챔버 내에서 공간적으로 분리되기 때문이다.
[0003] 몇몇 프로세스들에서, 공간적 ALD 프로세싱 챔버의 세그먼트는 기판에 대한 플라즈마 노출을 제공한다. 플라즈마 세그먼트들에 대한 노출 시간은 열 증착 세그먼트들의 노출 시간과 동일하다. 몇몇 프로세스들에서, 막 형성은 열 노출 시간보다 증가된 플라즈마 노출 시간으로부터 이익을 얻을 수 있다. 그에 따라, 공간적 ALD 배치 프로세싱 챔버에서 플라즈마 노출을 증가시키기 위한 장치 및 방법들에 대한 필요성이 본 기술분야에 존재한다.
[0004] 본 개시내용의 하나 또는 그 초과의 실시예들은 인젝터 유닛들에 관한 것이며, 그 인젝터 유닛들은, 인젝터 유닛의 길이를 따라 연장되는 선행 반응성 가스 포트; 인젝터 유닛의 길이를 따라 연장되는 후행 반응성 가스 포트; 및 선행 반응성 가스 포트 및 후행 반응성 가스 포트 주위에 경계를 형성하고, 선행 반응성 가스 포트 및 후행 반응성 가스 포트를 에워싸는 통합 진공 포트를 포함한다.
[0005] 본 개시내용의 부가적인 실시예들은 원형 가스 분배 조립체를 포함하는 프로세싱 챔버에 관한 것이다. 가스 분배 조립체는 내측 주변 에지 및 외측 주변 에지를 갖는다. 가스 분배 조립체는 2개의 제1 인젝터 유닛들 및 2개의 제2 인젝터 유닛들을 포함하며, 그 2개의 제1 인젝터 유닛들 및 2개의 제2 인젝터 유닛들은 제1 인젝터 유닛들과 제2 인젝터 유닛들이 교번하도록 배열된다. 제1 인젝터 유닛들 각각은, 제1 인젝터 유닛의 길이를 따라 연장되는 제1 반응성 가스 포트, 제1 반응성 가스 포트를 둘러싸는 제1 진공 포트, 제1 진공 포트의 측에 인접한 제1 퍼지 가스 포트, 제1 인젝터 유닛의 길이를 따라 연장되는 제2 반응성 가스 포트, 및 제2 반응성 가스 포트를 둘러싸는 제2 진공 포트를 포함한다. 제2 인젝터 유닛 각각은, 인젝터 유닛의 길이를 따라 연장되는 선행 반응성 가스 포트, 인젝터 유닛의 길이를 따라 연장되는 후행 반응성 가스 포트, 및 선행 반응성 가스 포트 및 후행 반응성 가스 포트를 포함하는 혼합된 프로세스 구역을 에워싸는 경계를 형성하는 통합 진공 포트를 포함한다. 프로세싱 챔버는 원형 가스 분배 조립체를 향하는 상단 표면을 갖는 서셉터 조립체를 포함한다. 상단 표면은 상단 표면에 복수의 오목부들을 갖고, 각각의 오목부는 프로세싱 동안에 기판을 지지하도록 사이즈가 설정된다.
[0006] 본 개시내용의 추가적인 실시예들은 프로세싱 방법들에 관한 것이며, 그 프로세싱 방법들은 복수의 인젝터 유닛들을 포함하는 가스 분배 조립체를 포함하는 프로세싱 챔버 내로 기판 표면을 갖는 기판을 배치하는 단계를 포함한다. 제1 진공 포트에 의해 둘러싸인 제1 반응성 가스 포트로부터의 제1 반응성 가스에 기판 표면의 적어도 일부가 노출된다. 기판 표면은 가스 커튼을 통해 측방향으로 이동된다. 제2 진공 포트에 의해 둘러싸인 제2 반응성 가스 포트로부터의 제2 반응성 가스에 기판 표면의 적어도 일부가 노출된다. 기판 표면은 가스 커튼을 통해 측방향으로 이동된다. 통합 진공 포트 내에 에워싸인 혼합된 프로세스 구역에 기판 표면의 적어도 일부가 노출된다. 혼합된 프로세스 구역은 선행 반응성 가스 포트로부터의 선행 반응성 가스, 및 후행 반응성 가스 포트로부터의 후행 반응성 가스를 포함한다. 기판 표면은 가스 커튼을 통해 측방향으로 이동된다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 개시내용의 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 단면도를 도시한다.
[0009] 도 2는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 부분적인 사시도를 도시한다.
[0010] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0011] 도 4는 본 개시내용의 하나 또는 그 초과의 실시예에 따른, 배치 프로세싱 챔버에서 사용하기 위한 웨지 형상 가스 분배 조립체의 일부의 개략도를 도시한다.
[0012] 도 5는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0013] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 인젝터 유닛을 도시한다.
[0014] 도 7은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 인젝터 유닛의 측면도를 도시한다.
[0015] 도 8은 도 4 및 도 8의 인젝터 유닛들을 가스 분배 조립체의 절반으로 조합한 부분적인 가스 분배 조립체를 도시한다.
[0016] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하고, 다양한 방식들로 실시 또는 수행될 수 있다. 본 개시내용의 착물들 및 리간드들이 특정한 입체 화학(stereochemistry)을 갖는 구조식들을 사용하여 본원에서 예시될 수 있다는 것이 또한 이해되어야 한다. 이들 예시들은 단지 예들로서 의도되고, 개시되는 구조를 임의의 특정한 입체 화학으로 제한하는 것으로 해석되지 않아야 한다. 오히려, 예시된 구조들은 표시된 화학식을 갖는 모든 그러한 착물들 및 리간드들을 포함하도록 의도된다.
[0017] 본원에서 사용되는 바와 같은 "기판"은 제작 프로세스 동안에 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은, 제한되지 않게, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 폴리싱하고, 에칭하고, 환원시키고, 산화하고, 수산화하고, 어닐링하고, 그리고/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대해 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우에, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0018] 하나 또는 그 초과의 실시예들에 따르면, 방법은 원자 층 증착(ALD) 프로세스를 사용한다. 그러한 실시예들에서, 기판 표면은 순차적으로 또는 실질적으로 순차적으로 전구체들(또는 반응성 가스들)에 노출된다. 본 명세서의 전체에 걸쳐 본원에서 사용되는 바와 같이, "실질적으로 순차적으로"는 전구체 노출의 지속기간의 대부분이 공동-시약(co-reagent)에 대한 노출과 겹치지 않는 것(그러나, 약간 겹칠 수 있음)을 의미한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등과 같은 용어들은 기판 표면과 반응할 수 있는 임의의 가스 종을 지칭하기 위해 교환가능하게 사용된다.
[0019] 도 1은, 인젝터들 또는 인젝터 조립체라고 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 프로세싱 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 조립체(120)는 서셉터 조립체(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은 서셉터 조립체(140)를 향하여 가스들의 유동을 전달하기 위한 임의의 개수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 외측 에지(124)를 포함하며, 도시된 실시예들에서, 외측 에지(124)는 실질적으로 둥글다.
[0020] 사용되는 가스 분배 조립체(120)의 특정한 타입은 사용되고 있는 특정한 프로세스에 따라 변화될 수 있다. 본 개시내용의 실시예들은 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 타입의 프로세싱 시스템에 대해 사용될 수 있다. 다양한 타입들의 가스 분배 조립체들(예컨대, 샤워헤드들)이 채용될 수 있지만, 본 개시내용의 실시예들은 복수의 가스 채널들을 갖는 공간적 ALD 가스 분배 조립체들에 대해 특히 유용할 수 있다. 복수의 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널, 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들), 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 상단 표면을 향하여 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 이동하고, 퍼지 가스 P 채널(들)을 통해 프로세싱 구역 밖으로 이동한다. 가스 분배 조립체의 하나의 단부로부터 다른 단부로 이동하는 기판은 프로세스 가스들 각각에 차례로 노출될 것이고, 그에 따라, 기판 표면 상에 층이 형성될 것이다.
[0021] 몇몇 실시예들에서, 가스 분배 조립체(120)는 단일 인젝터 유닛으로 이루어진 강성의 고정된 바디이다. 하나 또는 그 초과의 실시예들에서, 도 2에서 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별적인 섹터들(예컨대, 인젝터 유닛들(122))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들에 대해 단일 피스 바디 또는 멀티-섹터 바디가 사용될 수 있다.
[0022] 서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 포지셔닝된다. 서셉터 조립체(140)는 상단 표면(141), 및 상단 표면(141)에서의 적어도 하나의 오목부(142)를 포함한다. 서셉터 조립체(140)는 또한, 바닥 표면(143) 및 에지(144)를 갖는다. 오목부(142)는 프로세싱되고 있는 기판들(60)의 형상 및 사이즈에 따라 임의의 적합한 형상 및 사이즈로 이루어질 수 있다. 도 1에서 도시된 실시예에서, 오목부(142)는 웨이퍼의 바닥을 지지하기 위해 평탄한 바닥을 갖지만, 오목부의 바닥은 변화될 수 있다. 몇몇 실시예들에서, 오목부는 오목부의 외측 주변 에지 주위에 스텝 구역들을 가지며, 그 스텝 구역들은 웨이퍼의 외측 주변 에지를 지지하도록 사이즈가 설정된다. 스텝들에 의해 지지되는 웨이퍼의 외측 주변 에지의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 배면 상에 이미 존재하는 피처(feature)들의 존재에 따라 변화될 수 있다.
[0023] 몇몇 실시예들에서, 도 1에서 도시된 바와 같이, 서셉터 조립체(140)의 상단 표면(141)에서의 오목부(142)는 오목부(142)에서 지지되는 기판(60)이 서셉터(140)의 상단 표면(141)과 실질적으로 동일 평면 상에 있는 상단 표면(61)을 갖도록 사이즈가 설정된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는 웨이퍼의 상단 표면과 서셉터 조립체의 상단 표면이 ± 0.5 mm, ± 0.4 mm, ± 0.3 mm, ± 0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 몇몇 실시예들에서, 상단 표면들은 ± 0.15 mm, ± 0.10 mm, 또는 ± 0.05 mm 내에서 동일 평면 상에 있다.
[0024] 도 1의 서셉터 조립체(140)는 서셉터 조립체(140)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 기둥(160)을 포함한다. 서셉터 조립체는 지지 기둥(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 기둥(160)은 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 또는 감소시켜서 서셉터 조립체(140)를 적절한 포지션으로 이동시키는 주된 수단일 수 있다. 서셉터 조립체(140)는 또한, 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 미리 결정된 갭(170)을 생성하기 위해 서셉터 조립체(140)에 대해 마이크로-조정들을 행할 수 있는 미세 튜닝 액추에이터들(162)을 포함할 수 있다. 몇몇 실시예들에서, 갭(170) 거리는 약 0.1 mm 내지 약 5.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 3.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 2.0 mm의 범위에 있거나, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있거나, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있거나, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있거나, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있거나, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있거나, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있거나, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있거나, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있거나, 또는 약 1 mm이다.
[0025] 도면들에서 도시된 프로세싱 챔버(100)는 서셉터 조립체(140)가 복수의 기판들(60)을 홀딩할 수 있는 캐러셀-타입 챔버이다. 도 2에서 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 별개의 인젝터 유닛들(122)을 포함할 수 있으며, 각각의 인젝터 유닛(122)은, 웨이퍼가 인젝터 유닛 아래로 이동될 때, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 인젝터 유닛들(122)이 서셉터 조립체(140)의 대략적으로 대향하는 측들 상에 그리고 서셉터 조립체(140) 위에 포지셔닝된 것으로 도시된다. 이러한 개수의 인젝터 유닛들(122)은 예시적인 목적들만을 위해 도시된다. 더 많거나 또는 더 적은 인젝터 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 몇몇 실시예들에서, 서셉터 조립체(140)의 형상과 일치하는 형상을 형성하도록 충분한 개수의 파이-형상 인젝터 유닛들(122)이 존재한다. 몇몇 실시예들에서, 개별적인 파이-형상 인젝터 유닛들(122) 각각은 다른 인젝터 유닛들(122) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 하나의 세그먼트가 로봇으로 하여금 기판들(60)을 로딩/언로딩하기 위해 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 구역에 접근할 수 있게 하도록 상승될 수 있다.
[0026] 다수의 가스 인젝터들을 갖는 프로세싱 챔버들은 웨이퍼들이 동일한 프로세스 유동을 받도록 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예컨대, 도 3에서 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 인젝터 조립체들 및 4개의 기판들(60)을 갖는다. 프로세싱의 착수 시에, 기판들(60)은 인젝터 조립체들(30) 사이에 포지셔닝될 수 있다. 45°만큼 서셉터 조립체(140)를 회전시키는 것(17)은, 인젝터 조립체들(120) 사이에 있는 각각의 기판(60)으로 하여금, 인젝터 조립체들(120) 아래에 점선 원으로 예시된 바와 같이, 막 증착을 위해 인젝터 조립체(120)로 이동되게 할 것이다. 부가적인 45° 회전은 기판들(60)을 인젝터 조립체들(30)로부터 멀어지도록 이동시킬 것이다. 공간적 ALD 인젝터들로 인해, 인젝터 조립체에 대한 웨이퍼의 이동 동안에 웨이퍼 상에 막이 증착된다. 몇몇 실시예들에서, 서셉터 조립체(140)는 기판들(60)이 인젝터 조립체들(120) 아래에서 정지하는 것을 방지하는 증분들로 회전된다. 기판들(60) 및 가스 분배 조립체들(120)의 개수는 동일할 수 있거나 또는 상이할 수 있다. 몇몇 실시예들에서, 가스 분배 조립체들의 개수와 동일한 개수의 프로세싱되고 있는 웨이퍼들이 존재한다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 개수는 가스 분배 조립체들의 개수의 정수배 또는 일부분(fraction)이다. 예컨대, 4개의 가스 분배 조립체들이 존재하는 경우에, 4x개의 프로세싱되고 있는 웨이퍼들이 존재하며, 여기에서, x는 1과 동일하거나 또는 그 초과인 정수 값이다.
[0027] 도 3에서 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 주위에 균등하게 이격된 4개의 가스 분배 조립체들(또한, 인젝터 조립체들(30)이라고 호칭됨)이 존재한다. 도시된 프로세싱 챔버(100)가 팔각형이지만, 이는 하나의 가능한 형상일 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 조립체들(120)이 사다리꼴이지만, 단일 원형 컴포넌트일 수 있거나, 또는 도 2에서 도시된 바와 같이, 복수의 파이-형상 세그먼트들로 구성될 수 있다.
[0028] 도 3에서 도시된 실시예는 로드 락 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예컨대, 기판들(또한, 기판들(60)이라고 지칭됨)이 챔버(100)에서 로딩/언로딩될 수 있게 하도록 프로세싱 챔버(100)의 측에 연결된다. 웨이퍼 로봇이 서셉터 상으로 기판을 이동시키기 위해 챔버(180)에 포지셔닝될 수 있다.
[0029] 캐러셀(예컨대, 서셉터 조립체(140))의 회전은 연속적일 수 있거나 또는 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 지속적으로 회전하고, 그에 따라, 웨이퍼들이 차례로 인젝터들 각각에 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 인젝터 구역으로 이동되고 정지될 수 있고, 그 후에, 인젝터들 사이의 구역(84)으로 이동되고 정지될 수 있다. 예컨대, 캐러셀은 웨이퍼들이 인젝터-간 구역으로부터 인젝터를 가로질러 이동하고(또는, 인젝터 근처에 정지하고) 그 다음 인젝터-간 구역으로 이동하도록 회전할 수 있으며, 이 그 다음 인젝터-간 구역에서 캐러셀이 다시 멈출 수 있다. 인젝터들 사이에서 멈추는 것은 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0030] 도 4는, 인젝터 유닛(122)이라고 지칭될 수 있는, 가스 분배 조립체(220)의 섹터 또는 부분을 도시한다. 인젝터 유닛들(122)은 개별적으로 사용될 수 있거나 또는 다른 인젝터 유닛들과 조합하여 사용될 수 있다. 예컨대, 도 5에서 도시된 바와 같이, 도 4의 인젝터 유닛(122) 4개가 단일 가스 분배 조립체(220)를 형성하도록 조합된다(4개의 인젝터 유닛들을 분리하는 라인들은 명료성을 위해 도시되지 않는다). 도 4의 인젝터 유닛(122)이 퍼지 가스 포트들(155) 및 진공 포트들(145)에 부가하여 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135) 양자 모두를 갖지만, 인젝터 유닛(122)은 모든 그러한 컴포넌트들을 필요로 하지 않는다.
[0031] 도 4 및 도 5 양자 모두를 참조하면, 하나 또는 그 초과의 실시예에 따른 가스 분배 조립체(220)는 복수의 섹터들(또는 인젝터 유닛들(122))을 포함할 수 있으며, 각각의 섹터는 동일하거나 또는 상이하다. 가스 분배 조립체(220)는 프로세싱 챔버 내에 포지셔닝되고, 가스 분배 조립체(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145, 155)은 가스 분배 조립체(220)의 내측 주변 에지(123)에 인접한 영역으로부터 외측 주변 에지(124)에 인접한 영역을 향하여 연장된다. 도시된 복수의 가스 포트들은 제1 반응성 가스 포트(125), 제2 반응성 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145), 및 퍼지 가스 포트(155)를 포함한다.
[0032] 그러나, 도 4 또는 도 5에서 도시된 실시예들을 참조로, 포트들이 적어도 내측 주변 구역 주위로부터 적어도 외측 주변 구역 주위로 연장되는 것을 서술할 때, 포트들은 단지 반경방향으로 내측 구역으로부터 외측 구역으로 연장되는 것에 그치지 않을 수 있다. 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러싸면서 포트들이 접선방향으로 연장될 수 있다. 도 4 및 도 5에서 도시된 실시예에서, 웨지 형상 반응성 가스 포트들(125, 135)은, 진공 포트(145)에 의해, 내측 주변 구역 및 외측 주변 구역에 인접한 에지들을 포함하여 모든 에지들이 둘러싸인다.
[0033] 도 4를 참조하면, 기판이 경로(127)를 따라 이동함에 따라, 기판 표면의 각각의 부분이 다양한 반응성 가스들에 노출된다. 경로(127)를 따라, 기판은 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 반응성 가스 포트(135), 및 진공 포트(145)를 "보게(see)'될 것이거나 또는 이들에 노출될 것이다. 따라서, 도 4에서 도시된 경로(127)의 끝에서, 기판이 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135)로부터의 가스 스트림들에 노출되어 층이 형성되었다. 도시된 인젝터 유닛(122)은 사분원을 이루지만, 더 클 수 있거나 또는 더 작을 수 있다. 도 5에서 도시된 가스 분배 조립체(220)는 연속하여 연결된, 도 4의 인젝터 유닛(122) 4개의 조합인 것으로 고려될 수 있다.
[0034] 도 4의 인젝터 유닛(122)은 반응성 가스들을 분리하는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는 반응성 가스들이 혼합되지 않도록 분리하는 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 4에서 도시된 가스 커튼(150)은 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155), 및 제2 반응성 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 또는 최소화하기 위해 사용될 수 있다.
[0035] 도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들과 진공의 조합은 복수의 프로세싱 구역들(250)로의 분리를 형성한다. 프로세싱 구역들은, 가스 커튼(150)이 프로세싱 구역들(250) 사이에 있는 개별적인 반응성 가스 포트들(125, 135) 주위로 대략적으로 정의된다. 도 5에서 도시된 실시예는 8개의 별개의 가스 커튼들(150)이 사이에 있는 8개의 별개의 프로세싱 구역들(250)을 구성한다. 프로세싱 챔버는 적어도 2개의 프로세싱 구역을 가질 수 있다. 몇몇 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개, 또는 12개의 프로세싱 구역들이 존재한다.
[0036] 프로세싱 동안에, 기판은 임의의 주어진 시간에서 하나 초과의 프로세싱 구역(250)에 노출될 수 있다. 그러나, 상이한 프로세싱 구역들에 노출되는 부분들은 2개의 구역들을 분리하는 가스 커튼을 가질 것이다. 예컨대, 제2 반응성 가스 포트(135)를 포함하는 프로세싱 구역에 기판의 선행 에지가 진입하는 경우에, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고, 기판의 후행 에지는 제1 반응성 가스 포트(125)를 포함하는 프로세싱 구역에 있을 것이다.
[0037] 예컨대 로드 락 챔버일 수 있는 팩토리 인터페이스(280)가 프로세싱 챔버(100)에 연결된 것으로 도시된다. 기판(60)은 기준 프레임(frame of reference)을 제공하기 위해 가스 분배 조립체(220) 위에 중첩된(superimposed) 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)(또한, 가스 분배 플레이트라고 지칭됨)의 전방 표면(121) 근처에 홀딩되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 프로세싱 챔버(100) 내로 기판 지지부 또는 서셉터 조립체 상으로 로딩된다(도 3 참조). 기판(60)은, 기판이 제1 반응성 가스 포트(125) 근처에 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문에, 프로세싱 구역 내에 포지셔닝된 것으로 도시될 수 있다. 경로(127)를 따라 기판(60)을 회전시키는 것은 프로세싱 챔버(100) 주위에서 반시계 방향으로 기판을 이동시킬 것이다. 따라서, 기판(60)은, 사이에 있는 모든 프로세싱 구역들을 포함하여 제1 프로세싱 구역(250a) 내지 8번째의 프로세싱 구역(250h)에 노출될 것이다. 프로세싱 챔버 주위의 각각의 사이클에 대해, 도시된 가스 분배 조립체를 사용하여, 기판(60)은 제1 반응성 가스와 제2 반응성 가스의 4개의 ALD 사이클들에 노출될 것이다.
[0038] 도 5의 배치 프로세서와 같은 배치 프로세서에서의 종래의 ALD 시퀀스는, 펌프/퍼지 섹션을 사이에 갖는 공간적으로 분리된 인젝터들로부터의 화학물질 A 및 B 유동을 각각 유지한다. 종래의 ALD 시퀀스는 시작 및 종료 패턴을 갖고, 이는 증착된 막의 불-균일성을 초래할 수 있다. 본 발명자들은 놀랍게도, 공간적 ALD 배치 프로세싱 챔버에서 수행되는 시간 기반 ALD 프로세스가 더 높은 균일성을 갖는 막을 제공한다는 것을 발견하였다. 가스 A, 비 반응성 가스, 가스 B, 비 반응성 가스에 대한 노출의 기본적인 프로세스는, 막에서 시작 및 종료 패턴 형태를 갖는 것을 방지하기 위해, 화학물질 A 및 B 각각으로 표면을 포화시키도록, 인젝터들 아래에서 기판을 스위핑하는 것일 수 있다. 본 발명자들은 놀랍게도, 시작 및 종료 패턴이 웨이퍼 내 균일성 성능에 상당한 영향력을 갖는 경우, 타겟 막 두께가 얇을 때(예컨대, 20 ALD 사이클 미만), 시간 기반 접근법이 특히 유익하다는 것을 발견하였다. 본 발명자들은 또한, 본원에서 설명되는 바와 같은, SiCN, SiCO, 및 SiCON 막들을 생성하기 위한 반응 프로세스가 시간-도메인 프로세스로 달성될 수 없다는 것을 발견하였다. 프로세싱 챔버를 퍼징하기 위해 사용되는 시간의 양은 기판 표면으로부터의 재료의 박리를 초래한다. 박리는 설명되는 공간적 ALD 프로세스에서 발생되지 않는데, 이는 가스 커튼 아래에 있는 시간이 짧기 때문이다.
[0039] 따라서, 본 개시내용의 실시예들은 복수의 프로세싱 구역들(250a 내지 250h)을 갖는 프로세싱 챔버(100)를 포함하는 프로세싱 방법들에 관한 것이며, 각각의 프로세싱 구역은 가스 커튼(150)에 의해 인접한 구역으로부터 분리된다. 예컨대, 프로세싱 챔버는 도 5에서 도시된다. 프로세싱 챔버 내의 가스 커튼들 및 프로세싱 구역들의 개수는, 가스 유동들의 어레인지먼트에 따라, 임의의 적합한 개수일 수 있다. 도 5에서 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 프로세싱 구역들(250a 내지 250h)을 갖는다. 가스 커튼들의 개수는 일반적으로, 프로세싱 구역들의 개수와 동일하거나 또는 그보다 더 많다. 예컨대, 구역(250a)이 반응성 가스 유동을 갖지 않고 단지 로딩 영역의 역할을 하는 경우에, 프로세싱 챔버는 7개의 프로세싱 구역들 및 8개의 가스 커튼들을 가질 것이다.
[0040] 복수의 기판들(60)이 기판 지지부, 예컨대 도 1 및 도 2에서 도시된 서셉터 조립체(140) 상에 포지셔닝된다. 복수의 기판들(60)은 프로세싱을 위해 프로세싱 구역들 주위에서 회전된다. 일반적으로, 가스 커튼들(150)은, 반응성 가스가 챔버 내로 유동하고 있지 않는 기간들을 포함하는 프로세싱의 전체에 걸쳐 관여된다(가스 유동 및 진공).
[0041] 제1 반응성 가스 A가 프로세싱 구역들(250) 중 하나 또는 그 초과 내로 유동되면서, 제1 반응성 가스 A가 유동하고 있지 않는 임의의 프로세싱 구역(250) 내로 비활성 가스가 유동된다. 예컨대, 제1 반응성 가스가 프로세싱 구역들(250b) 내지 프로세싱 구역(250h) 내로 유동하고 있는 경우에, 비활성 가스가 프로세싱 구역(250a) 내로 유동하고 있을 것이다. 비활성 가스는 제1 반응성 가스 포트(125) 또는 제2 반응성 가스 포트(135)를 통해 유동될 수 있다.
[0042] 프로세싱 구역들 내의 비활성 가스 유동은 일정할 수 있거나 또는 변화될 수 있다. 몇몇 실시예들에서, 반응성 가스는 비활성 가스와 함께 공동-유동된다. 비활성 가스는 캐리어 및 희석제로서 작용할 것이다. 캐리어 가스에 비하여 반응성 가스의 양이 적기 때문에, 공동-유동은, 인접한 구역들 사이의 압력의 차이들을 감소시킴으로써, 프로세싱 구역들 사이의 가스 압력들의 밸런싱을 더 용이하게 만들 수 있다.
[0043] 도 6은 인젝터 유닛(300)의 다른 실시예를 도시한다. 인젝터 유닛(300)은, 완전한 원형 컴포넌트를 형성하도록, 동일한 구성 또는 상이한 구성들(예컨대, 도 4에서 도시된 인젝터 유닛(122))의 다른 인젝터 유닛들에 기계적으로 체결될 수 있거나 또는 일체형으로 형성될 수 있거나, 또는 기존의 가스 분배 조립체들의 개별적인 인젝터 유닛들 또는 섹터들을 대체하는 모듈형일 수 있다. 도시된 바와 같이, 인젝터 유닛(300)은 선행 에지(302) 및 후행 에지(304)를 갖는다. 선행 에지는 후행 에지(304)의 제2 면(305)과 유사한 제1 면(미도시)을 가질 수 있다.
[0044] 인젝터 유닛(300)은 내측 주변 면(321)을 갖는 내측 주변 에지(323), 및 외측 주변 면(322)을 갖는 외측 주변 에지(324)를 포함한다. 내측 주변 에지(323) 및 외측 주변 에지(324)는 섹터 또는 웨지 형상을 형성하도록 선행 에지(302)와 후행 에지(304)를 연결시킨다. 내측 주변 에지(323) 및 외측 주변 에지(324)는 인젝터 유닛(300)의 길이(L)를 정의한다. 선행 에지(302)와 후행 에지(304) 사이의 호는 측정되는 길이(L)를 따르는 포지션에 기초하여 변화될 수 있는 폭을 정의한다. 예컨대, 인젝터 유닛(300)의 폭은 내측 주변 에지(323) 근처에서보다 외측 주변 에지(324) 근처에서 더 크다.
[0045] 도시된 인젝터 유닛은 내측 구역에 선행 에지(302)와 후행 에지(304)가 만나는 포인트가 없도록 절두된다. 몇몇 실시예들에서, 선행 에지(302)와 후행 에지(304)는 내측 주변 면(321)이 없도록 포인트에서 만난다. 내측 주변 면(321)을 갖지 않는 컴포넌트의 내측 주변 에지를 참조하는 경우에, 그 구역은 원 또는 타원의 2개의 반경들(즉, 선행 에지(302) 및 후행 에지(304))이 합쳐지는 포인트 근처이다. 선행 에지(302)와 후행 에지(304)에 의해 형성되는 각도는 인젝터 유닛(300)의 사이즈에 따라 좌우된다. 도시된 인젝터 유닛(300)의 선행 에지(302)와 후행 에지(304)에 의해 형성되는 각도는 약 90°이다. 따라서, 도시된 바와 같은 인젝터 유닛(300) 4개가 단부-대-단부로 연결되는 경우에, 완전한 원이 형성될 것이다. 몇몇 실시예들에서, 인젝터 유닛(300)은 원의 일부를 형성하고, 그에 따라, 선행 에지와 후행 에지는, 약 20° 내지 약 180°의 범위, 또는 약 25° 내지 약 35°의 범위, 또는 약 50° 내지 약 60°의 범위, 또는 약 60° 내지 약 120°의 범위, 또는 약 70° 내지 약 110°의 범위, 또는 약 80° 내지 약 100°의 범위, 또는 약 85° 내지 약 95°의 범위의 각도를 형성한다.
[0046] 인젝터 유닛(300)은 인젝터 유닛(300)의 길이(L)를 따라 연장되는 선행 반응성 가스 포트(325)를 포함한다. 섹터의 길이를 따라 연장되는 것으로 설명되는 가스 포트들이 섹터의 길이의 임의의 부분을 따라 연장될 수 있고, 섹터의 전체 길이로 제한되지 않는다는 것을 당업자는 이해할 것이다. 이에 대하여 사용되는 바와 같이, 선행 및 후행이라는 용어들은 오직 반응성 가스 포트들을 구별하기 위해서만 사용된다. 본 개시내용의 사상으로부터 벗어나지 않으면서, 반응성 가스들 또는 비활성 가스들을 이용하는 부가적인 가스 포트들이 선행 반응성 가스 포트(325) 앞에 또는 후행 반응성 가스 포트(335) 뒤에 있을 수 있다. 설명되는 반응성 가스 포트들 각각은, 개방 슬롯일 수 있거나 개방 웨지 형상일 수 있거나 또는 확산기 또는 다른 유동 다이버터를 가질 수 있는, 인젝터 유닛(300)의 면(311) 내의 개구를 갖는다.
[0047] 통합 진공 포트(445)는, 적어도, 선행 반응성 가스 포트(325) 및 후행 반응성 가스 포트(335)를 에워싸고, 이들 주위에 경계를 형성한다. 통합 진공 포트(445)는 혼합 존(330)을 형성하며, 혼합 존(330)은 선행 반응성 가스 포트(325) 및 후행 반응성 가스 포트(335)로부터의 가스들이 혼합 존(330)의 경계 내에서 혼합될 수 있게 하면서, 가스들이 통합 진공 포트(445)에 의해 형성된 경계 밖으로 확산되는 것을 방지한다. 통합 진공 포트(445) 내에 위치된 반응성 가스 포트들의 개수는 2개, 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개, 12개, 13개, 14개 또는 그 초과와 동일할 수 있거나 또는 그보다 더 많을 수 있다. 개별적인 반응성 가스 포트들의 사이즈는 변화될 수 있고, 통합 진공 포트(445)의 사이즈는 개별적인 인젝터 유닛(300) 내의 반응성 가스 포트들 중 일부 또는 전부를 에워싸도록 변화될 수 있다. 몇몇 실시예들에서, 통합 진공 포트(445) 내의 반응성 가스 포트들의 조합은 통합 진공 포트(445)에 의해 에워싸인 면적의 약 50 %와 동일한 또는 그 초과의 면적을 차지한다. 몇몇 실시예들에서, 통합 진공 포트(445) 내의 반응성 가스 포트들의 조합은 통합 진공 포트(445)에 의해 에워싸인 면적의 약 60 %, 70 %, 또는 80 %와 동일한 또는 그 초과의 면적을 차지한다.
[0048] 가스 포트들의 형상은, 예컨대, 인젝터 유닛(300)의 형상에 따라 변화될 수 있다. 도 6에서 도시된 인젝터 유닛(300)에서, 가스 포트들은 웨지 형상이다. 몇몇 실시예들에서, 가스 포트들은 인젝터 유닛(300)과 형상이 컨포멀(conformal)하다. 이에 대하여 사용되는 바와 같이, 다른 가스 포트 또는 인젝터 유닛에 대하여 가스 포트의 형상을 설명하기 위해 사용되는 "형상이 컨포멀한" 등의 용어는 지명된 컴포넌트들의 전체 형상이 유사한 형상들 또는 종횡비들인 것을 의미한다. 몇몇 실시예들에서, 통합 진공 포트(445)는 인젝터 유닛(300)과 형상이 컨포멀하다. 부가적으로, 도 6에서 도시된 바와 같이, 통합 진공 포트(445)는 선행 및 후행 가스 포트들의 조합과 형상이 컨포멀할 수 있다.
[0049] 도 7은 가상으로 도시된 선행 반응성 가스 포트(325) 및 후행 반응성 가스 포트(335)를 갖는 인젝터 조립체(300)의 측면도를 도시한다. 몇몇 실시예들에서, 선행 반응성 가스 포트(325) 또는 후행 반응성 가스 포트(335) 중 하나 또는 그 초과에 연결된 적어도 하나의 원격 플라즈마 소스가 존재한다. 도 7에서 도시된 실시예에서, 선행 반응성 가스 포트(325)에 연결된 선행 원격 플라즈마 소스(465) 및 후행 반응성 가스 포트(335)에 연결된 후행 원격 플라즈마 소스(475)가 존재한다. 원격 플라즈마 소스들 양자 모두가 단일 전력 공급부(440)에 연결된 것으로 도시되지만, 당업자는 하나 초과의 전력 공급부가 존재할 수 있다는 것을 이해할 것이다.
[0050] 다시 도 6을 참조하면, 몇몇 실시예들은 통합 진공 포트(445)의 하나의 측에 인접한 퍼지 가스 포트(455)를 포함한다. 도시된 퍼지 가스 포트(455)는, 인젝터 유닛(300)의 후행 에지(304) 근처에 포지셔닝될 수 있는 임의의 다른 유닛과 인젝터 유닛(300) 사이에 퍼지 가스 스트림이 존재하는 것을 보장한다. 인접한 인젝터 유닛 상의 임의의 퍼지 또는 진공 포트들 및 통합 진공 포트(445)의 후행 부분과 함께 퍼지 가스 포트(455)는, 혼합 존(330)으로부터의 가스들이 프로세싱 챔버의 다른 구역들 내로 확산되는 것을 방지하기 위한 가스 커튼(450)을 형성한다.
[0051] 몇몇 실시예들에서, 적어도 하나의 중간 가스 포트(338)가 통합 진공 포트(445)의 경계 내에서 선행 반응성 가스 포트(325)와 후행 반응성 가스 포트(335) 사이에 포지셔닝된다. 도 6에서 도시된 실시예는 3개의 중간 가스 포트들(338)을 갖지만, 다른 개수의 가스 포트들이 사용될 수 있다. 중간 가스 포트들(338)은 선행 반응성 가스 포트(325) 및/또는 후행 반응성 가스 포트(335) 중 하나 또는 그 초과에 연결될 수 있고, 그 하나 또는 그 초과와 유체 연통할 수 있다. 몇몇 실시예들에서, 중간 반응성 가스 포트들(338)은 상이한 가스에 연결되고, 혼합 존(330) 내로 비활성 가스 또는 반응성 가스를 유동시킬 수 있다. 중간 가스 포트들(338)은 개별적인 반응성 가스 포트들의 사이즈 및 형상에 따라 혼합 존(330)의 임의의 양을 차지할 수 있다. 몇몇 실시예들에서, 중간 가스 포트들(338)은 혼합 존 면적의 약 10 % 내지 약 60 %의 범위를 차지한다. 몇몇 실시예들에서, 중간 가스 포트들(338)은 혼합 존(330)의 면적의 약 20 % 내지 약 50 %의 범위, 또는 25 % 내지 약 40 %의 범위, 또는 약 25 % 내지 약 35 %의 범위, 또는 약 30 %를 차지한다. 다양한 실시예들에서, 중간 가스 포트들(338)은 혼합 존의 약 90 %, 80 %, 70 %, 60 %, 또는 50 %까지 차지한다.
[0052] 하나 또는 그 초과의 실시예들에 따르면, 동일한 반응성 가스가 선행 반응성 가스 포트(325)와 후행 반응성 가스 포트(335) 양자 모두 내로, 그리고 선택적으로, 적어도 하나의 중간 가스 포트(338) 내로 유동된다. 가스는 비교적 큰 프로세싱 구역이 형성되도록 혼합 존(330) 내로 유동할 수 있다. 몇몇 실시예들에서, 비활성 또는 반응성일 수 있는 상이한 가스가 중간 가스 포트(338)를 통해 유동된다. 이러한 방식으로, 2개의 반응성 가스들이 동시에 혼합 존(330) 내로 유동하도록, ALD 프로세싱 챔버의 작은 부분이 CVD 타입 프로세싱을 위해 셋업될 수 있다.
[0053] 다른 실시예에서, 선행 반응성 가스 포트(325) 및 후행 반응성 가스 포트(335) 내로 유동하는 반응성 가스는, 플라즈마가 혼합 존(330) 내로 유동하도록, 적어도 하나의 원격 플라즈마 소스를 통해 유동한다. 몇몇 실시예들에서, 반응성 가스는 중성 가스 또는 플라즈마로서 중간 가스 포트들(338)을 통해 유동된다.
[0054] 하나 또는 그 초과의 실시예들에서, 선행 반응성 가스 포트(325) 내로 유동하는 반응성 가스는 후행 반응성 가스 포트(335) 내로 유동하는 반응성 가스와 상이하다. 상이한 가스들은 다른 가스에 대하여 비활성일 수 있거나, 또는 다른 가스와 반응적일 수 있고, 그에 따라, 혼합 존(330)에서 CVD 반응이 발생할 수 있다.
[0055] 도 8은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 가스 분배 조립체(500)의 절반을 도시한다. 가스 분배 조립체(500)의 다른 절반은 180° 회전되어 나타낸 것과 동일할 수 있거나, 또는 인젝터 유닛 구성들의 상이한 조합을 가질 수 있다. 가스 분배 조립체(500)는 내측 주변 에지(423) 및 외측 주변 에지(424)를 갖는 적어도 하나의 제1 인젝터 유닛(422)을 포함하며, 내측 주변 에지(423) 및 외측 주변 에지(424)는 제1 인젝터 유닛(422)의 길이를 정의한다.
[0056] 제1 인젝터 유닛들(422) 각각은 인젝터 유닛(422)의 길이를 따라 연장되는 제1 반응성 가스 포트(425)를 포함한다. 제1 진공 포트(445)는 제1 반응성 가스 포트(425)를 측면들 양자 모두 및 내측 및 외측 주변부에서 둘러싼다. 제1 퍼지 가스 포트(455)는 제1 진공 포트(445)의 측에 인접하고, 인젝터 유닛(422)의 길이를 따라 연장된다. 제2 반응성 가스 포트(435)는 인젝터 유닛의 길이를 따라 연장되고, 주위에 제2 진공 포트(446)를 갖는다.
[0057] 제2 퍼지 가스 포트(456)는 제1 인젝터 유닛(422)의 단부들 중 어느 하나 또는 양자 모두에 포지셔닝될 수 있다. 도시된 실시예들에서, 제2 퍼지 가스 포트는 상이한 구성의 인젝터 유닛들 각각의 단부에 있는 것으로 도시된다. 다르게 말하면, 몇몇 실시예들은 제2 인젝터 유닛들(300)과 제1 인젝터 유닛들(422) 각각 사이에 퍼지 가스 포트(456)를 더 포함한다.
[0058] 몇몇 실시예들에서, 2개의 제1 인젝터 유닛들(422)과 2개의 제2 인젝터 유닛들(300)이 교번 구성으로 배열되고, 그에 따라, 가스 분배 조립체(500)의 면 근처에서 회전되는 기판은, 순서대로, 진공 스트림, 제1 반응성 가스 포트(425)로부터의 제1 반응성 가스, 진공 스트림, 퍼지 가스, 진공 스트림, 제2 반응성 가스 포트(435)로부터의 제2 반응성 가스, 진공 스트림, 퍼지 가스, 진공 스트림, 선행 반응성 가스 포트(325)로부터의 선행 반응성 가스, 후행 반응성 가스 포트(335)로부터의 후행 반응성 가스, 및 진공 스트림에 노출될 것이다. 이에 대하여 사용되는 바와 같이, 진공 스트림은 진공 소스의 적용에 의해 프로세싱 챔버로부터 진공 포트로 인출되는 가스의 유동이다.
[0059] 하나 또는 그 초과의 실시예들에서, 서셉터 조립체의 일정한(즉, 일정한 속도의) 회전 동안에, 서셉터 조립체 상에 포지셔닝된 기판은, 제1 반응성 가스 포트(425)로부터의 제1 반응성 가스에 기판이 노출되는 시간의 양, 또는 제2 반응성 가스 포트(435)로부터의 제2 반응성 가스에 기판이 노출될 시간의 양의 2배와 동일한 또는 그 초과의 시간 동안, 혼합된 프로세싱 구역에 노출될 것이다. 몇몇 실시예들에서, 기판은, 제1 반응성 가스 포트 또는 제2 반응성 가스 포트에 기판이 노출되는 시간의 양의 약 2.5배, 3배, 또는 3.5배와 동일한 또는 그 초과의 시간 동안, 혼합 존에 노출된다.
[0060] 하나 또는 그 초과의 실시예들은 프로세싱 방법들에 관한 것이다. 기판 표면을 갖는 기판이 프로세싱 챔버에 배치된다. 몇몇 실시예들에서, 기판은, 서셉터의 회전 동안에 기판이 고정된 포지션에서 유지되도록, 서셉터의 표면 내의 오목부 내에 배치된다.
[0061] 제1 진공 포트(445)에 의해 둘러싸인 제1 반응성 가스 포트(425)로부터의 제1 반응성 가스에 기판 표면의 적어도 일부가 노출된다. 기판은 가스 커튼(150)을 통해 프로세싱 챔버의 상이한 부분으로 측방향으로 이동된다. 제2 진공 포트(446)에 의해 둘러싸인 제2 반응성 가스 포트(435)로부터의 제2 반응성 가스에 기판 표면의 적어도 일부가 노출된다. 기판은 가스 커튼(450)을 통해 프로세싱 챔버의 다른 구역으로 측방향으로 이동된다. 통합 진공 포트(445) 내에 에워싸인 혼합된 프로세스 구역(또는 혼합 존(330))에 기판 표면의 적어도 일부가 노출된다. 혼합된 프로세스 구역은 선행 반응성 가스 포트(325)로부터의 선행 반응성 가스, 및 후행 반응성 가스 포트(335)로부터의 후행 반응성 가스를 포함한다. 그 후에, 기판은, 프로세싱을 계속하거나 또는 프로세싱 챔버로부터 제거되기 위해, 가스 커튼(450)을 통해 측방향으로 이동된다.
[0062] 혼합 존(330)에서, 기판은, 단일 반응성 종, 반응성 종의 조합(예컨대, CVD 프로세스), 단일 플라즈마 종, 플라즈마 종의 조합, 또는 반응성 종과 플라즈마 종의 조합 중 하나 또는 그 초과에 노출된다. 비활성 가스 또는 캐리어 가스가 섹터들 또는 프로세스 구역들 중 임의의 곳에 항상 존재할 수 있고, 반응성 종과 공동-유동될 수 있거나 또는 혼합될 수 있다.
[0063] 하나 또는 그 초과의 실시예들에 따르면, 기판은 층을 형성하기 전에 그리고/또는 층을 형성한 후에 프로세싱을 받는다. 이러한 프로세싱은 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은 추가적인 프로세싱을 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은 제1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동된 후에 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0064] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 프로세싱 챔버들과 로드 락 챔버들 사이에서 그리고 간에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전단부에 포지셔닝된 로드 락 챔버로 기판들을 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이며, 이들 양자 모두는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러나, 챔버들의 정확한 어레인지먼트 및 조합은 본원에서 설명되는 바와 같은 프로세스의 특정한 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, 열 처리, 이를테면 RTP, 플라즈마 질화, 탈기, 배향, 수산화, 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지는 않는다). 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 후속 막을 증착하기 전의 산화 없이도, 대기 불순물들에 의한 기판의 표면 오염이 방지될 수 있다.
[0065] 하나 또는 그 초과의 실시예들에 따르면, 기판은 계속 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에 주변 공기에 노출되지 않는다. 그에 따라, 이송 챔버들은 진공 하에 있고, 진공 압력 아래로 "펌프 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 몇몇 실시예들에서, 비활성 가스는 반응물들 중 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0066] 기판은, 단일 기판이 로딩되고, 프로세싱되고, 다른 기판이 프로세싱되기 전에 언로딩되는 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 컨베이어 시스템과 유사하게 연속적인 방식으로 프로세싱될 수 있고, 여기에서, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 연관된 컨베이어 시스템의 형상은 직진 경로 또는 휘어진 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고, 캐러셀 경로의 전체에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
[0067] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지는 않는) 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되고 있는 가스들(반응성 가스들 또는 비활성 가스들)은 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 몇몇 실시예들에서, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 가열기/냉각기가 포지셔닝된다.
[0068] 기판은 또한, 프로세싱 동안에 고정될 수 있거나 또는 회전될 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 스텝들로 회전될 수 있다. 예컨대, 기판이 전체 프로세스의 전체에 걸쳐 회전될 수 있거나, 또는 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 기판이 소량 회전될 수 있다. (연속적으로 또는 스텝들로) 프로세싱 동안에 기판을 회전시키는 것은, 예컨대, 가스 유동 기하형상들의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 발생시키는 것을 도울 수 있다.
[0069] 본 명세서의 전체에 걸친 "일 실시예", "특정한 실시예들", "하나 또는 그 초과의 실시예들", 또는 "실시예"에 대한 언급은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 개소들에서의 "하나 또는 그 초과의 실시예들에서", "특정한 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 또는 그 초과의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0070] 여기에서 본 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 개시내용의 적용들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 개시내용이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (15)

  1. 인젝터 유닛으로서,
    상기 인젝터 유닛의 길이를 따라 연장되는 선행 반응성 가스 포트;
    상기 인젝터 유닛의 길이를 따라 연장되는 후행 반응성 가스 포트; 및
    상기 선행 반응성 가스 포트 및 상기 후행 반응성 가스 포트 주위에 경계를 형성하고, 상기 선행 반응성 가스 포트 및 상기 후행 반응성 가스 포트를 에워싸는 통합 진공 포트
    를 포함하는,
    인젝터 유닛.
  2. 제1 항에 있어서,
    상기 통합 진공 포트는 상기 선행 반응성 가스 포트 및 상기 후행 반응성 가스 포트로부터의 가스들이 상기 경계 내에서 혼합될 수 있게 하면서, 상기 가스들이 상기 경계 밖으로 확산되는 것을 방지하는,
    인젝터 유닛.
  3. 제1 항에 있어서,
    상기 인젝터 유닛은 선행 에지와 후행 에지, 및 내측 주변 에지와 외측 주변 에지를 가지며, 상기 선행 에지와 상기 후행 에지는 상기 인젝터 유닛의 폭을 정의하고, 상기 내측 주변 에지와 상기 외측 주변 에지는 상기 선행 에지와 상기 후행 에지를 연결시키고, 상기 인젝터 유닛의 길이를 정의하는,
    인젝터 유닛.
  4. 제3 항에 있어서,
    상기 인젝터 유닛은, 상기 선행 에지와 상기 후행 에지가 약 60° 내지 약 120°의 범위의 각도를 형성하도록, 원의 일부를 형성하는,
    인젝터 유닛.
  5. 제1 항에 있어서,
    상기 통합 진공 포트는 상기 인젝터 유닛과 형상이 컨포멀(conformal)한,
    인젝터 유닛.
  6. 제1 항에 있어서,
    상기 선행 반응성 가스 포트 또는 상기 후행 반응성 가스 포트 중 하나 또는 그 초과에 연결된 적어도 하나의 원격 플라즈마 소스를 더 포함하는,
    인젝터 유닛.
  7. 제1 항에 있어서,
    상기 선행 반응성 가스 포트에 연결된 선행 원격 플라즈마 소스, 및 상기 후행 반응성 가스 포트에 연결된 후행 원격 플라즈마 소스를 더 포함하는,
    인젝터 유닛.
  8. 제1 항에 있어서,
    상기 통합 진공 포트의 하나의 측에 인접한 퍼지 가스 포트를 더 포함하는,
    인젝터 유닛.
  9. 제1 항에 있어서,
    상기 통합 진공 포트의 경계 내에서 상기 선행 반응성 가스 포트와 상기 후행 반응성 가스 포트 사이에 적어도 하나의 중간 가스 포트를 더 포함하는,
    인젝터 유닛.
  10. 가스 분배 조립체로서,
    내측 주변 에지 및 외측 주변 에지를 갖는 적어도 하나의 제1 인젝터 유닛; 및
    제1 항 내지 제9 항 중 어느 한 항에 기재된 적어도 하나의 제2 인젝터 유닛
    을 포함하며,
    상기 내측 주변 에지와 상기 외측 주변 에지는 상기 제1 인젝터 유닛의 길이를 정의하고,
    각각의 제1 인젝터 유닛은,
    상기 인젝터 유닛의 길이를 따라 연장되는 제1 반응성 가스 포트;
    상기 제1 반응성 가스 포트를 둘러싸는 제1 진공 포트;
    상기 인젝터 유닛의 길이를 따라 연장되는, 상기 제1 진공 포트의 측에 인접한 제1 퍼지 가스 포트;
    상기 인젝터 유닛의 길이를 따라 연장되는 제2 반응성 가스 포트; 및
    상기 제2 반응성 가스 포트를 둘러싸는 제2 진공 포트
    를 포함하는,
    가스 분배 조립체.
  11. 제10 항에 있어서,
    제2 인젝터 유닛들과 제1 인젝터 유닛들 각각 사이에 퍼지 가스 포트를 더 포함하는,
    가스 분배 조립체.
  12. 제11 항에 있어서,
    2개의 제1 인젝터 유닛들 및 2개의 제2 인젝터 유닛들이 교번 구성으로 배열되고, 그에 따라, 상기 가스 분배 조립체의 면 근처에서 회전되는 기판은, 순서대로, 진공 스트림, 상기 제1 반응성 가스 포트로부터의 제1 반응성 가스, 진공 스트림, 퍼지 가스, 진공 스트림, 상기 제2 반응성 가스 포트로부터의 제2 반응성 가스, 진공 스트림, 퍼지 가스, 진공 스트림, 상기 선행 반응성 가스 포트로부터의 선행 반응성 가스, 상기 후행 반응성 가스 포트로부터의 후행 반응성 가스, 및 진공 스트림에 노출될 것인,
    가스 분배 조립체.
  13. 프로세싱 챔버로서,
    내측 주변 에지 및 외측 주변 에지를 갖는 원형 가스 분배 조립체 ― 상기 가스 분배 조립체는 제10 항에 따른 2개의 제1 인젝터 유닛들 및 2개의 제2 인젝터 유닛들을 포함하고, 상기 2개의 제1 인젝터 유닛들 및 상기 2개의 제2 인젝터 유닛들은 상기 제1 인젝터 유닛들과 상기 제2 인젝터 유닛들이 교번하도록 배열됨 ―; 및
    상기 원형 가스 분배 조립체를 향하는 상단 표면을 갖는 서셉터 조립체
    를 포함하며,
    각각의 제1 인젝터 유닛은,
    상기 제1 인젝터 유닛의 길이를 따라 연장되는 제1 반응성 가스 포트;
    상기 제1 반응성 가스 포트를 둘러싸는 제1 진공 포트;
    상기 제1 진공 포트의 측에 인접한 제1 퍼지 가스 포트;
    상기 제1 인젝터 유닛의 길이를 따라 연장되는 제2 반응성 가스 포트; 및
    상기 제2 반응성 가스 포트를 둘러싸는 제2 진공 포트
    를 포함하고,
    상기 상단 표면은 상기 상단 표면에 복수의 오목부들을 갖고, 각각의 오목부는 프로세싱 동안에 기판을 지지하도록 사이즈가 설정되는,
    프로세싱 챔버.
  14. 제13 항에 있어서,
    상기 선행 반응성 가스 포트와 연통하는 선행 원격 플라즈마 소스, 및 상기 후행 반응성 가스 포트와 연통하는 후행 원격 플라즈마 소스를 더 포함하는,
    프로세싱 챔버.
  15. 프로세싱 방법으로서,
    복수의 인젝터 유닛들을 포함하는 가스 분배 조립체를 포함하는 프로세싱 챔버 내로 기판 표면을 갖는 기판을 배치하는 단계;
    제1 진공 포트에 의해 둘러싸인 제1 반응성 가스 포트로부터의 제1 반응성 가스에 상기 기판 표면의 적어도 일부를 노출시키는 단계;
    가스 커튼을 통해 상기 기판 표면을 측방향으로 이동시키는 단계;
    제2 진공 포트에 의해 둘러싸인 제2 반응성 가스 포트로부터의 제2 반응성 가스에 상기 기판 표면의 적어도 일부를 노출시키는 단계;
    가스 커튼을 통해 상기 기판 표면을 측방향으로 이동시키는 단계;
    통합 진공 포트 내에 에워싸인 혼합된 프로세스 구역에 상기 기판 표면의 적어도 일부를 노출시키는 단계 ― 상기 혼합된 프로세스 구역은 선행 반응성 가스 포트로부터의 선행 반응성 가스, 및 후행 반응성 가스 포트로부터의 후행 반응성 가스를 포함함 ―; 및
    가스 커튼을 통해 상기 기판 표면을 측방향으로 이동시키는 단계
    를 포함하는,
    프로세싱 방법.
KR1020187001747A 2015-06-19 2016-06-16 배치 프로세싱을 위한 인젝터 및 사용 방법들 KR102462467B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562182359P 2015-06-19 2015-06-19
US62/182,359 2015-06-19
PCT/US2016/037867 WO2016205510A1 (en) 2015-06-19 2016-06-16 Injector for batch processing and methods of use

Publications (2)

Publication Number Publication Date
KR20180010331A true KR20180010331A (ko) 2018-01-30
KR102462467B1 KR102462467B1 (ko) 2022-11-01

Family

ID=57546216

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187001747A KR102462467B1 (ko) 2015-06-19 2016-06-16 배치 프로세싱을 위한 인젝터 및 사용 방법들

Country Status (6)

Country Link
US (2) US11261525B2 (ko)
JP (1) JP6951257B2 (ko)
KR (1) KR102462467B1 (ko)
CN (1) CN107743529B (ko)
TW (1) TWI723997B (ko)
WO (1) WO2016205510A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US20200017969A1 (en) * 2018-07-10 2020-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Method, and Tool of Manufacture
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101394122B1 (ko) * 2012-07-19 2014-05-14 주식회사 테스 박막증착장치의 제어방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR101135853B1 (ko) 2009-05-29 2012-04-16 주식회사 케이씨텍 원자층 증착장치
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
KR101835755B1 (ko) * 2012-06-13 2018-04-19 주식회사 원익아이피에스 박막 제조방법 및 기판 처리 장치
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
KR102271731B1 (ko) 2013-11-26 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101394122B1 (ko) * 2012-07-19 2014-05-14 주식회사 테스 박막증착장치의 제어방법

Also Published As

Publication number Publication date
CN107743529A (zh) 2018-02-27
KR102462467B1 (ko) 2022-11-01
TWI723997B (zh) 2021-04-11
TW201700776A (zh) 2017-01-01
WO2016205510A1 (en) 2016-12-22
JP6951257B2 (ja) 2021-10-20
US11530480B2 (en) 2022-12-20
US20220162748A1 (en) 2022-05-26
JP2018519425A (ja) 2018-07-19
US20160369398A1 (en) 2016-12-22
US11261525B2 (en) 2022-03-01
CN107743529B (zh) 2020-08-21

Similar Documents

Publication Publication Date Title
TWI729101B (zh) 用於旋轉料架基座中的晶圓旋轉的設備及方法
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
US11530480B2 (en) Injector for batch processing and methods of use
WO2017160647A1 (en) Selective deposition of silicon nitride films for spacer applications
US11702742B2 (en) Methods of forming nucleation layers with halogenated silanes
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
US10959294B2 (en) High temperature heater for processing chamber
US20170306490A1 (en) Enhanced Spatial ALD Of Metals Through Controlled Precursor Mixing
US11887818B2 (en) Methods and systems to modulate film stress
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
WO2018009443A1 (en) Device to increase deposition uniformity in spatial ald processing chamber
US11015246B2 (en) Apparatus and methods for depositing ALD films with enhanced chemical exchange
US20150376790A1 (en) Apparatus And Methods For Differential Pressure Chucking Of Substrates
WO2019152514A1 (en) Gas injector insert segment for spatial ald

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant