TW201442183A - 用於矽穿孔之連接結構 - Google Patents

用於矽穿孔之連接結構 Download PDF

Info

Publication number
TW201442183A
TW201442183A TW102144057A TW102144057A TW201442183A TW 201442183 A TW201442183 A TW 201442183A TW 102144057 A TW102144057 A TW 102144057A TW 102144057 A TW102144057 A TW 102144057A TW 201442183 A TW201442183 A TW 201442183A
Authority
TW
Taiwan
Prior art keywords
layer
trench
interconnect
tsvs
die
Prior art date
Application number
TW102144057A
Other languages
English (en)
Other versions
TWI515858B (zh
Inventor
Christopher M Pelto
Ruth A Brain
Kevin J Lee
Gerald S Leatherman
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW201442183A publication Critical patent/TW201442183A/zh
Application granted granted Critical
Publication of TWI515858B publication Critical patent/TWI515858B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭示內容之實施例敘述與使用互連層的互連結構形成用於矽穿孔(TSV)之連接結構有關的技術及組構。於一實施例中,一設備包含半導體基板,具有第一表面及與該第一表面相反的第二表面;裝置層,設置在該半導體基板之第一表面上,該裝置層包含一或多個電晶體裝置;互連層,設置在該裝置層上,該互連層包含複數互連結構;及一或多個矽穿孔,設置在該第一表面與該第二表面之間,其中該複數互連結構包含與該一或多個TSVs電耦接且被建構成提供該一或多個TSVs的一或多個對應連接結構之互連結構。其他實施例可被敘述及/或主張。

Description

用於矽穿孔之連接結構
本揭示內容之實施例大致上有關積體電路的領域,且更特別地是有關技術及與使用一晶粒之互連層形成用於矽穿孔的連接結構有關之組構。
積體電路(IC)裝置、諸如IC晶粒(在下文稱為“晶粒”)及相關封裝組構持續收縮至較小的尺寸,以容納行動計算裝置及其他小形狀因數器具。耦接IC裝置之一種顯現的解決方法可包含經過晶粒之背面形成矽穿孔(TSVs),以提供經過該晶粒而用於另一晶粒的電選路。然而,在該晶粒的前側提供一用於該TSVs之連接結構可為具有挑戰性的。譬如,該TSVs可具有一遠大於藉由設計規則所允許之最大尺寸的尺寸,該設計規則用於在本晶粒的互連層中佈圖之互連結構。具有該等設計規則之尺寸的TSVs尺寸中之此差異可阻止單一、連續連接結構之形成,其尺寸係與TSV相稱的,尤其用於最接近該晶粒的半導體基板上所形成之電晶體的下互連層,在此設計 規則係比用於上互連層更嚴格。該等互連結構的形成中之覆疊及重要尺寸變動可進一步加重此挑戰。其可為想要的是定位用於TSV之連接結構,該TSV係由最接近至該晶粒之半導體基板的互連層中之半導體基板的背面所形成,以避免與穿透多數互連層來連接至該連接結構有關之挑戰。
10‧‧‧晶圓形式
11‧‧‧晶圓
100‧‧‧切單顆形式
101‧‧‧晶粒
101a‧‧‧晶粒
101b‧‧‧晶粒
103‧‧‧電路系統
200‧‧‧封裝組件
201a‧‧‧第一晶粒
201b‧‧‧第二晶粒
202‧‧‧主動層
204‧‧‧矽穿孔
204a‧‧‧矽穿孔
205‧‧‧基板
205a‧‧‧第一表面
205b‧‧‧第二表面
206a‧‧‧互連部
206b‧‧‧互連部
210‧‧‧封裝基板
212‧‧‧互連部
300‧‧‧部份
302‧‧‧互連層
314‧‧‧連接結構
315‧‧‧互連結構
316‧‧‧裝置層
316a‧‧‧電晶體裝置
316b‧‧‧電晶體裝置
317‧‧‧互連結構
318‧‧‧溝渠層
320‧‧‧通孔層
322‧‧‧溝渠層
324‧‧‧通孔層
326‧‧‧間層電介體
327‧‧‧溝渠層
328‧‧‧通孔層
330‧‧‧溝渠層
332‧‧‧通孔層
334‧‧‧溝渠層
336‧‧‧通孔層
338‧‧‧溝渠層
340‧‧‧通孔層
342‧‧‧溝渠層
344‧‧‧墊片
346‧‧‧鈍化層
348‧‧‧閘極結構
350‧‧‧源極/汲極元件
352a‧‧‧互連結構
352b‧‧‧互連結構
354‧‧‧介面
356‧‧‧絕緣層
358‧‧‧接點
416‧‧‧裝置層
418‧‧‧溝渠層
420‧‧‧通孔層
422‧‧‧溝渠層
424‧‧‧通孔層
426‧‧‧間層電介體
452‧‧‧通孔結構
452a‧‧‧溝渠結構
452b‧‧‧通孔結構
454‧‧‧介面
460‧‧‧區域
600‧‧‧計算裝置
602‧‧‧主機板
604‧‧‧處理器
606‧‧‧通訊晶片
實施例將藉由以下之詳細敘述會同所附圖面被輕易地了解。為有助於此敘述,相像參考數字標示相像的結構元件。實施例係經由範例及不經由所附圖面的圖示中之限制所說明。
圖1概要地說明按照一些實施例呈晶圓形式及切單顆形式的晶粒之示範俯視圖。
圖2概要地說明按照一些實施例的積體電路(IC)封裝組件之示範截面側視圖。
圖3概要地說明按照一些實施例的具有用於矽穿孔(TSV)之連接結構的晶粒之一部份的示範截面側視圖。
圖4A-K概要地說明按照一些實施例而在各種製造操作之後的連接結構。
圖4A概要地說明按照一些實施例而在裝置層上形成第一溝渠層之後的連接結構之示範俯視圖。
圖4B概要地說明按照一些實施例的圖4A之連接結構的示範截面視圖。
圖4C概要地說明按照一些實施例而在該第一溝渠層上形成第二溝渠層之後的連接結構之示範俯視圖。
圖4D概要地說明按照一些實施例的圖4C之連接結構的示範截面視圖。
圖4E概要地說明按照一些實施例而在該第一溝渠層上形成第一通孔層之後的連接結構之示範俯視圖。
圖4F概要地說明按照一些實施例的圖4E之連接結構的示範截面視圖。
圖4G概要地說明按照一些實施例的圖4E之連接結構的另一選擇組構之示範截面視圖。
圖4H概要地說明按照一些實施例的圖4E之連接結構的另一示範截面視圖。
圖4I概要地說明按照一些實施例的圖4E之連接結構的又另一示範截面視圖。
圖4J概要地說明按照一些實施例的圖4E之連接結構的仍又另一示範截面視圖。
圖4K概要地說明按照一些實施例之連接結構的示範截面視圖,其係在該第二溝渠層上形成一或多個互連層及移去該裝置層的材料以暴露該連接結構之後。
圖5係用於按照一些實施例製造具有TSV用之連接結構的晶粒之方法的流程圖。
圖6概要地說明按照一些實施例的計算裝置,其可包含一或多個具有在此中所敘述之連接結構的零組件。
【發明內容及實施方式】
本揭示內容之實施例包含與使用互連層形成用於矽穿孔(TSV)的連接結構(例如連接墊片)有關之技術及組構。於以下之敘述中,該等說明實施之各種態樣將使用一般被那些熟諳該技藝者所使用之術語來敘述,以傳達其工作之內容給其他熟諳該技藝者。然而,其將變得明顯的是對於那些熟諳此技藝者,本發明能以僅只一些所敘述之態樣被實踐。用於說明之目的,特定數目、材料及組構被提出,以便提供該等說明性實施之完全理解。然而,對於熟諳此技藝者將變得明顯的是本發明可沒有該等特定細節地被實踐。於其他情況中,熟知的特色被省略或簡化,以便不會遮蔽該等說明性實施。
於以下之詳細敘述中,參考形成其一部份的所附圖面,其中類似數字始終標出相像零件,且其中經由說明實施例被顯示,其中本揭示內容之主題可被實踐。其將被了解其他實施例可被利用,且結構或邏輯變化可被造成,而不會由本揭示內容之範圍脫離。因此,以下之詳細敘述不被採取受限制的意義,且實施例之範圍被所附申請專利範圍及其同等項所界定。
為著本揭示內容之目的,該片語“A及/或B”意指(A)、(B)、或(A及B)。為著本揭示內容之目的,該片語“A、B、及/或C”意指(A)、(B)、(C)、(A及B)、(A及C)、(B及C)、或(A、B及C)。
該敘述可使用以立體圖為基礎之敘述,諸如頂部/底部、水平的/直立的、進/出、在...之上/在...之下與類似者等。此敘述僅只被使用以有助於該討論,且係不意欲限制在此中所敘述之實施例的應用至任何特別定向。
該敘述可使用該片語“於一實施例中”或“於諸實施例中”,其每一者可意指一或多個相同或不同的實施例。再者,如相對於本揭示內容之實施例一起使用,該“包括”、“包含”、“具有”與類似者等詞係同義詞的。
該“與耦接”一詞隨同其衍生詞可在此中被使用。“耦接”可意指下文的一或多個。“耦接”可意指二或更多元件係直接物理或電接觸。然而,“耦接”亦可意指該二或更多元件間接地彼此接觸,但又還彼此配合或互相作用,並可意指一或多個其他元件被耦接或連接於該等元件之間,其被稱為彼此耦接。該“直接地耦接”一詞可意指二或更多元件係直接接觸。
於各種實施例中,該片語“第一部件被形成、沈積、或以別的方式設置在第二部件上”可意指該第一部件被形成、沈積、或設置在該第二部件之上,且該第一部件的至少一部份可為與該第二部件之至少一部份直接接觸(例如直接物理及/或電接觸)、或間接接觸(例如於該第一部件及該第二部件之間具有一或多個其他部件)。
圖1概要地說明按照一些實施例而呈晶圓形式10及切單顆形式100的積體電路(IC)晶粒(下文稱為“晶粒101”)之示範俯視圖。於一些實施例中,該晶粒101可 為晶圓11之複數晶粒(例如晶粒101、101a、101b)的其中一者。該晶圓11可包含譬如由半導體材料、諸如矽(Si)或另一合適的半導體材料所構成之半導體基板。個別的晶粒可包含被形成在該晶圓11的表面上之電路系統103。該電路系統103可包含譬如主動層(例如圖2~3之主動層202),包含連接結構(例如圖3的連接結構314),如在此中所敘述。該等晶粒(例如晶粒101、101a、101b)之每一者可為半導體產品之重複單元,其包含用於矽穿孔(例如圖3的矽穿孔204a)之連接結構(例如圖3的連接結構314),如在此中敘述。該等晶粒可與譬如關於圖2~3所敘述之實施例一致。
在該半導體產品的製造製程被完成之後,該晶圓11可遭受切單顆製程,其中該等晶粒(例如晶粒101)之每一者係彼此分開,以提供該半導體產品之離散的“晶片”。該晶圓11可為各種尺寸之任一者。於一些實施例中,該晶圓11具有由約25.4毫米分佈至約450毫米的直徑。於其他實施例中,該晶圓11可包含其他尺寸及/或其他形狀。根據各種實施例,在此中所敘述之連接結構可為呈晶圓形式10或切單顆形式100中之晶粒101的一部份,視該晶圓11是否已被切單顆而定。如在此中所敘述之連接結構可被併入用於邏輯或記憶體、或其組合的晶粒101中。
圖2概要地說明按照一些實施例的積體電路(IC)封裝組件200之示範截面側視圖。根據各種實施例,該IC 封裝組件200代表使用晶粒互連部206a與封裝基板210耦接之第一晶粒201a、及使用晶粒互連部206b與該第一晶粒201a耦接的第二晶粒201b之一示範堆疊組構。圖3概要地說明按照一些實施例的具有用於矽穿孔(TSV)204a之連接結構(例如藉由314所指示之互連結構352a、352b,下文稱為“連接結構314”)的晶粒之一部份300的示範截面側視圖。譬如,根據各種實施例,圖3可描述圖2之第一晶粒201a的部份300。該TSV 204a可為圖2之一或更多TSVs的一TSV(下文稱為“TSVs204”)。
參考圖2及3兩者,於一些實施例中,該第一晶粒201a可包含處理器,且該第二晶粒201b可包含記憶體。於其他實施例中,該第一晶粒201a及/或該第二晶粒201b可被建構來施行其他功能。譬如,於一些實施例中,該第一晶粒201a可被建構來用作記憶體、特定應用積體電路(ASIC)處理器、或其組合。
於所描述之組構中,該第一晶粒201a係在覆晶組構中與該封裝基板210耦接,且該第二晶粒201b係在覆晶組構中與該第一晶粒201a耦接。該IC封裝組件200未受限於圖2中所描述之組構,並於其他實施例中可包含寬廣變化性之其他合適組構。譬如,於一些實施例中,額外之晶粒可被堆疊在該第二晶粒201b上、及/或該第一晶粒201a可為與一異於該封裝基板210的零組件耦接。於一些實施例中,該IC封裝組件200可包含譬如覆晶及引線 接合技術之組合、插入件、包含系統晶片(SoC)及/或堆疊式封裝(PoP)組構的多晶片封裝組構,以路由電信號。
於一些實施例中,該第一晶粒201a可包含由半導體材料所構成之半導體基板205。該半導體基板205可為由n型或p型材料系統所構成,並可包含譬如使用矽塊或絕緣層上矽子結構所形成之結晶質基板。於一些實施例中,該半導體基板205可為使用交替材料所形成,其可或不能與矽結合,且包含、但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、或銻化鎵。根據各種實施例,其他II-VI、III-V族或IV族材料系統亦可被使用於形成該半導體基板205。
該半導體基板205可具有第一表面205a及與該第一表面205a相反的第二表面205b,如能被看見者。主動層202可被形成在該第一晶粒201a的半導體基板205之第一表面205a上。該主動層202可包含譬如裝置層316,其包含電晶體裝置316a、316b及形成在該裝置層316上之互連層302。雖然該等電晶體裝置316a、316b描述平面式裝置,於其他實施例中,該等電晶體裝置316a、316b可包含寬廣變化性之其他組構,譬如包含多閘極裝置、諸如雙閘極、三閘極、及/或環繞式閘極(GAA)組構。
該半導體基板205的第一表面205a可被稱為“前側”或“主動表面”。該主動表面可為該等電晶體裝置 316a、316b係形成在其上之表面。該第二表面205b可被稱為“後側”或“非主動表面”。該主動層202可被稱為“主動”,因為該主動層202係形成在該主動表面上。
該電晶體裝置316a可代表一或多個電晶體裝置,其被建構來當該第一晶粒201a被打開電源時操作,且該虛擬電晶體裝置316b可代表一或多個虛擬電晶體裝置,其被建構來當該第一晶粒201a被打開電源時不操作。該電晶體裝置316a及該虛擬電晶體裝置316b之每一者可包含譬如源極/汲極元件350及閘極結構348,其被建構來控制該等源極/汲極元件350間之電流的流動。該電晶體裝置316a可另包含接點358(例如通孔型結構),其被建構來提供互連層302及該裝置層316間之電接觸。於一些實施例中,該虛擬電晶體裝置316b不能包含一或多個此等接點358。一旦TSVs 204(例如,TSV 204a)被形成及電連接至該等連接結構,該等虛擬電晶體裝置(例如虛擬電晶體裝置316b)不能被電連接至該等連接結構(例如連接結構314),以便減少至該半導體基板205的反向漏偏壓之風險。
根據各種實施例,該一或多個虛擬電晶體裝置(例如虛擬電晶體裝置316b)可於該裝置層316中提供虛擬作用。該虛擬作用可由於圖案設計需求而被使用來防止或輕減人口減少。譬如,於一些實施例中,該TSVs 204可被形成經過該裝置層316,以連接至該等連接結構(例如連接結構314),且該一或多個虛擬電晶體(例如虛擬電晶 體裝置316b)可提供均勻的圖案密度,以有利於圍繞主動電晶體(例如電晶體裝置316a)之高品質製造。該一或多個虛擬電晶體裝置(例如虛擬電晶體裝置316b)可被設置於該一或多個電晶體裝置(例如電晶體裝置316a)及該TSVs 204(例如TSV 204a)之間。於TSVs 204之形成期間,該裝置層316中之一些虛擬電晶體裝置(未示出)可藉由蝕刻製程被移去。於其他實施例中,該裝置層316可能未包含虛擬電晶體裝置(例如虛擬電晶體裝置316b)。
該等互連層302可包含被配置在交替組構中之溝渠層318、322、327、330、334、338、342及通孔層320、324、328、332、336、340,如能被看見。譬如,該等溝渠層318、322、327、330、334、338、342可包含溝渠結構352a(例如金屬線),並藉由該等通孔層320、324、328、332、336、340的通孔結構352b(例如以金屬充填之洞)電耦接在一起。該等互連層302可包含被稱為間層電介體(ILD)326的電絕緣材料,其設置在該等溝渠層318、322、327、330、334、338、342及該等通孔層320、324、328、332、336的每一者中。譬如,該ILD 326可包含譬如氧化物或氮化物材料、諸如氧化矽、氮化矽、摻雜碳氧化物(CDO)與類似者等。根據各種實施例,該等溝渠結構352a及/或通孔結構352b可為譬如由諸如銅之金屬所構成。於其他實施例中,該等溝渠結構352a、通孔結構352b及/或ILD 326可為由其他合適之 材料所構成。
該主動層202可另包含一或多個墊片344及一形成在該互連層302上之鈍化層346。該一或多個墊片344可為與該等溝渠結構352a及通孔結構352b電耦接,以路由電信號。該鈍化層346可為譬如由聚合物、諸如聚醯亞胺或類似材料所構成,以保護該主動層202之在下方的零組件不遭受有害的周遭影響、諸如氧化。晶粒及互連部206a可被形成在該一或多個接合墊片344上,以進一步路由該電信號。
該IC封裝組件200及該部份300之零組件的特別組構係僅只一為了討論之範例,且本揭示內容的主題係未受限於所描述之實施例。譬如,根據各種實施例,該等溝渠結構352a及通孔結構352b可根據寬廣變化性之合適電路設計被建構,而用於電信號之選路。該等互連層302可包含比根據各種實施例所描述者更多或更少之溝渠層及/或通孔層。為了易於討論,雖然溝渠層318、322、327、330、334、338、342及通孔層320、324、328、332、336、340之每一者被一界限所劃分,於一些實施例中,該等溝渠層318、322、327、330、334、338、342及通孔層320、324、328、332、336、340的溝渠層及鄰接通孔層可包含該對應溝渠結構352a及通孔結構352b及/或ILD 326之材料,其係在單一操作中沈積,以形成連續的材料結構。譬如,於一些實施例中,溝渠層318、322、327、330、334、338、342及通孔層320、324、328、 332、336、340之鄰接對可根據雙鑲嵌結構、自行實現通孔對準(SAV)或其他合適技術被形成。圖2之IC封裝組件200的零組件及圖3之部份300的尺寸未以一定比例畫出。
於一些實施例中,該等互連層302可包含第一互連結構(例如藉由315所指示之溝渠結構352a及通孔結構352b,在下文稱為“第一互連結構315”),被建構來路由電信號至該裝置層316的一或更多電晶體裝置(在下文稱為“電晶體裝置316a”)。譬如,該第一互連結構315可被建構來在該電晶體裝置316a及該第一晶粒201a外部的電零組件之間路由該電信號。該等電信號可使用晶粒互連部206a路由於該等互連層302及該封裝基板210之間。該第一互連結構315可包含該溝渠層318的溝渠結構352a,其未被設置在該TSVs 204(例如TSV 204a)及該等互連層302之介面354。
於一些實施例中,該IC封裝組件200可包含封裝互連部212,其被建構來在該第一晶粒201a(及/或該第二晶粒201b)及該IC封裝組件200外部的其他電零組件之間路由電信號,該IC封裝組件200外部包含譬如電路板、諸如主機板(例如圖6之主機板602)。根據各種實施例,該封裝基板210可為由聚合物、陶瓷、玻璃、或半導體材料所構成,並具有形成在其中的電選路部件,以電耦接該等晶粒互連部206a及該等封裝互連部212。
該等互連部206a、206b、212可包含寬廣變化性之合 適結構及/或材料的任何一者,包含譬如使用金屬、合金、可軟焊的材料、或其組合所形成之凸塊、導柱或滾珠。於其他實施例中,該等互連部206a、206b、212可包含其他合適之結構及/或材料。
該主動層202可包含第二互連結構(例如藉由317所指示之溝渠結構352a及通孔結構352b,在下文稱為第二互連結構317”),其被建構來譬如路由電信號經過該TSVs 204、諸如TSV 204a。該TSVs 204可被形成於該等半導體基板205的相向表面(例如第一表面205a及第二表面205b)之間,以電耦接設置在該等相向表面上的部件。該“TSV”一詞可大致上應用至被形成於該半導體基板的半導體材料中之導通孔,甚至當該半導體材料係由異於矽之材料所構成時。
根據各種實施例,該第二互連結構317之子集合可被建構來提供與該TSVs 204(例如TSV 204a)對應的一或多個連接結構(例如該連接結構314)。該等連接結構(例如連接結構314)之第二互連結構317可包含譬如第一溝渠層(在下文稱為“MT1層318”)的溝渠結構352a,其被設置在TSVs 204(例如TSV 204a)及譬如該互連層302、諸如該第二互連結構317的介面(例如介面354)。該連接結構(例如連接結構314)之第二互連結構317可另包含第一通孔層(在下文稱為“VA1層320”)的通孔結構352b,其被設置在該介面354。如可被看見,該VA1層320可被設置在該MT1層318上,且 係直接地毗連該MT1層318。該MT1層318可相對該等互連層302之其他溝渠層(例如溝渠層322、327、330、334、338、342)被定位最接近至該裝置層316。於其他實施例中,該等連接結構可包含該互連層302之其他溝渠層及/或通孔層的溝渠結構352a及通孔結構352b。該等連接結構可被放置在互連層302的堆疊中之任何位置,在此該TSVs 204被意欲終止及與該等第二互連結構317電耦接。
該MT1層318的溝渠結構352a可被建構在一圖案(例如格柵圖案)中,並具有於該等溝渠結構352a間之區域。該等通孔結構352b可被至少局部地設置於該等溝渠結構352a間之區域中,如能被看見者。於一些實施例中,該等連接結構(例如連接結構314)的第二互連結構317可另包含第二溝渠層(在下文稱為“MT2層322)之溝渠結構352a,其被直接地設置在該VA1層320的通孔結構352b上,如能被看見者。該MT2層322可提供一溝渠圖案,其中該VA1層320之通孔結構352b將被佈圖,以充填該MT1層318中之在下方格柵的空間。
該等連接結構(例如連接結構314)可包含該MT1層318及該VA1層320之第二互連結構317,其被建構來提供一接住-杯件結構,其承納或“接住”該通孔蝕刻及/或該等TSVs 204(例如TSV 204a)之沈積材料。於一些實施例中,該等連接結構(例如連接結構314)可提供一金屬蝕刻擋止部,其允許低蝕刻速率,或提供“選擇性” 蝕刻材料,以使TSV蝕刻製程顯著地慢下來,並與該半導體基板205之蝕刻半導體材料及被使用於形成該VA1層320的介電材料(例如ILD 326)一致。該介面354可包含大體上設置在交替平面的表面,並與該連接結構314之溝渠結構352a及通孔結構352b對應,以提供一間隙-齒部輪廓,如能被看見者。
該主動層202之連接結構(例如連接結構314)可被建構來承納該等TSVs 204(例如TSV 204a)的終止端。亦即,該TSVs 204可被建構來連接在該等連接結構(例如連接結構314)上。該TSVs 204之材料可為與該等連接結構(例如連接結構314)的材料直接電接觸。於一些實施例中,此直接電接觸可包含經過該等TSVs 204之障礙層電接觸。
於一些實施例中,在該介面354於沈積金屬以充填該TSV 204a之前,該等TSVs 204(例如TSV 204a)可包含一沈積至在該等連接結構(例如連接結構314)的溝渠結構352a及通孔結構352b上形成薄障礙層的障礙材料。該障礙材料可包含寬廣變化性之任何合適材料。譬如,於實施例中,在此該TSV 204a之金屬為銅(Cu),該障礙材料可包含氮化鈦(TiN)、氮化鉭(TaN)、或其組合。該障礙材料可為導電的。其他合適之障礙材料可被使用於其他實施例中。
於一些實施例中,該障礙材料可被沈積在電絕緣層356上,其可被沈積在該半導體基板205之表面上,該等 表面被設置在該等TSV 204a之金屬及該半導體基板205的半導體材料之間。該電絕緣層356可為譬如由氧化物或氮化物材料所構成、諸如氮化矽(SiN)或二氧化矽(SiO2),以防止或減少該TSV 204a中之滲漏。如果被使用,該阻障層可防止或減少該TSV 204a之金屬的擴散。於該電絕緣層356不被使用之實施例中,該障礙材料可被沈積,以在該半導體基板205的表面上形成一層(例如在類似於對該電絕緣層356所描述之組構中)。
根據各種實施例,該等第二互連結構317可譬如被建構來於該TSVs 204及該等晶粒互連部206a之間路由電信號、諸如輸入/輸出(I/O)信號或供電/接地。譬如,該等第二互連結構317可被建構來路由該電信號於譬如另一電零組件、諸如該第二晶粒201b及該封裝基板210之間(例如經由晶粒互連部206b、TSVs 204、及晶粒互連部206a)。於一些實施例中,該第二互連結構317及該連接結構(例如連接結構314)隨同該TSVs 204可譬如被建構來於該第一晶粒201a之一或多個電晶體(例如電晶體裝置316a)及該第二晶粒201b的電路系統之間路由電信號、諸如I/O信號或供電/接地。該第一晶粒201a及該第二晶粒201b可經過該主動層202之TSVs 204(例如TSV 204a)及連接結構(例如連接結構314)被電耦接至與通訊或路由供電/接地信號。
於一些實施例中,該MT1層318的溝渠結構352a之寬度W1的範圍可由50奈米(nm)至150nm。該TSVs 204(例如TSV 204a)之寬度W2可為大於1微米。於一些實施例中,該寬度W2之範圍可由5微米至30微米。於其他實施例中,該等寬度W1及W2可具有其他合適之值。
於一些實施例中,該TSVs 204可為由耦接至該第一晶粒201a或與該第一晶粒201a耦接的晶粒(例如第二晶粒201b)之晶粒影子所形成。譬如,該晶粒影子包含第一晶粒201a之直接在該第二晶粒201b下方的區域。於一些實施例中,該TSVs 204可被形成在該第一晶粒201a的中心區域中。
圖4A~K概要地說明按照一些實施例在各種製造操作之後的連接結構。根據各種實施例,該連接結構可為藉由佈圖後端互連堆疊的多數層(例如圖3的互連層302)所形成。根據包含譬如雙鑲嵌組結構及/或SAV技術熟知技術,該佈圖可包含譬如在沈積質(例如圖3之ILD 326)及/或金屬材料上施行的平版印刷術及/或蝕刻製程。該SAV技術係關於圖4A-F描述。根據各種實施例,用於製程相容性(例如最大製程相容性),該連接結構可使用每一層(例如圖3之MT1層318、VA1層320、MT2層322)之相對適度延伸設計規則而被形成。
圖4A概要地說明在裝置層416上形成第一溝渠層418之後的連接結構之示範俯視圖。圖4B概要地說明圖4A之連接結構的示範截面視圖(例如沿著剖線AB)。該裝置層416、第一溝渠層418、ILD 426、及溝渠結構452a 可與所敘述之實施例有關關於圖3所敘述的個別裝置層316、MT1層318、ILD 326、及溝渠結構352a一致。
參考圖4A及4B兩者,該溝渠結構452a之材料可被沈積及/或佈圖,以於格柵組構中提供該溝渠結構452a,如能被看見者。譬如,該ILD 326之材料可被沈積及佈圖成具有溝渠形凹部,其隨後以金屬充填該溝渠結構452a。拋光製程可被使用來提供該第一溝渠層418之平面式表面。該連接結構可於該格柵圖案的溝渠結構452a之間包含區域(例如區域460),如能被看見者。
溝渠結構的鄰接直立溝渠452a間之節距P1的範圍可由60nm至300nm。溝渠結構452a的鄰接水平溝渠間之節距P2的範圍可由60nm至300nm。於其他實施例中,該等節距P1及P2可具有其他值。
圖4C概要地說明在該第一溝渠層418上形成第二溝渠層422之後的連接結構之示範俯視圖。圖4D概要地說明圖4C之連接結構的示範截面視圖(例如沿著剖線CD)。該第二溝渠層422及相關的溝渠結構452a可與有關圖3的個別MT2層322及相關溝渠結構352a所敘述之實施例一致。為了清楚之故,圖4D中所描述之ILD 426不能被描述在圖4C的俯視圖中。
參考圖4C及4D兩者,該第二溝渠層422可按照SAV溝渠-第一後端製程流動被形成,在此該第二溝渠層422係於形成第一通孔層(例如圖4E及4F的第一通孔層420)之前被形成。譬如,該ILD 426之材料可被沈積在 該第一溝渠層418上,並以溝渠形凹部佈圖,而以金屬充填該溝渠結構452a及拋光,以提供該第二溝渠層422的平面式表面。
於圖4C之俯視圖中,MT1參考標籤(“MT1”)被使用於指示該第一溝渠層418的溝渠結構452a之垂直溝渠,且MT2參考標籤(“MT2”)被使用於指示該第二溝渠層422的溝渠結構452a之平行溝渠。如能被看見者,該第二溝渠層422之溝渠結構452a(例如MT2)可具有一寬度W3,其係大於該第一溝渠層418之溝渠結構452a(例如MT1)的寬度W1,使得該第二溝渠層422的溝渠結構452a之一部份重疊該第一溝渠層418的溝渠結構452a,並覆蓋該第一溝渠層418的溝渠結構452a間之區域(例如區域460)。根據各種實施例,該第二溝渠層422的鄰接溝渠結構452a間之節距P3的範圍可由60nm至300nm。於其他實施例中,該節距P3可具有其他值。ILD 426可被設置於該個別第一溝渠層418及該第二溝渠層422的溝渠結構452a之間。
於圖4C及4D中,該個別的第一溝渠層418及該第二溝渠層422之溝渠結構452a可不同地著色,以更清楚地描述圖4C的俯視圖中之不同層。於一些實施例中,該溝渠結構452a可為由相同材料所構成。該第二溝渠層422的溝渠結構452a可被建構來具有用於TSV(例如圖3的TSV 204a)及其他互連層(例如圖3的互連層324、327、328等)間之電信號的選路層之作用。
圖4E概要地說明在該第一溝渠層418上形成第一通孔層420之後的連接結構之示範俯視圖。圖4F概要地說明圖4E之連接結構示範截面視圖(例如沿著剖線EF)。該第一通孔層420與有關聯的通孔結構452b可與有關圖3之個別VA1層320與關聯的通孔結構352b所敘述之實施例一致。為了清楚之故,該ILD 426可不在該俯視圖中被描述。
參考圖4E及4F兩者,該第一通孔層420可按照SAV技術所形成,在此該通孔結構452係在該第二溝渠層422的溝渠結構452a被佈圖於該ILD 426中之後所形成。
於圖4E的俯視圖中,VA1參考標籤(“VA1”)被使用於指示該第一通孔層420之通孔結構452b的示範通孔結構。如能被看見者,該第一通孔層420之通孔結構452b(例如VA1)可在該通孔結構452b的頂部具有一寬度W4,該寬度W4係與該第二溝渠層422之溝渠結構452a(例如MT2)的寬度W3相同或類似,且在該通孔結構452b之底部具有一與該節距P1相同或少於該節距P1的寬度W5,使得該通孔結構452b之底部被設置該第一溝渠層418的溝渠結構452a(例如MT1)間之區域(例如區域460)中。該通孔結構452b(例如VA1)可具有一大於該節距P2的寬度W5。根據各種實施例,該通孔結構452b可具有圓形或有角(例如長方形)輪廓、或其組合。
該個別的第一溝渠層418及該第二溝渠層422之溝渠 結構452a與該第一通孔層420的通孔結構452b可於圖4E及4F中被不同地著色,以於圖4E之俯視圖中更清楚地描述該等不同層。於一些實施例中,該溝渠結構452a及該通孔結構452b可為由相同材料所構成。
圖4G概要地說明圖4E之連接結構的另一選擇組構之示範截面視圖(例如沿著剖線EF)。於圖4G中,該通孔結構452b的底部之寬度W5可為比該節距P1較小,使得該第一通孔層420之通孔結構452b的金屬係未與該第一溝渠層418的溝渠結構452a之金屬直接接觸。ILD 426可被設置於該第一通孔層420之通孔結構452b及該第一溝渠層418的溝渠結構452a之間。其他實施例可包含有關圖4F及4G所敘述之組構的組合。
圖4H概要地說明圖4E之連接結構的另一示範截面視圖(例如沿著剖線GH)。圖4H之連接結構可與關於圖4F及4G所敘述的實施例一致。於一些實施例中,如可在圖4H中被看見,於沿著圖4E之線GH的截面圖中,該第一溝渠層418之溝渠結構452a可為連續的溝渠結構。於一些實施例中,該通孔結構452b之底部可著落(例如直接接觸)在該第一溝渠層418的溝渠結構452a上。
圖4I概要地說明圖4E之連接結構的又另一示範截面視圖(例如沿著剖線IJ)。圖4I之連接結構可與關於圖4F及4G所敘述的實施例一致。於一些實施例中,如可在圖4I中被看見,於沿著圖4E之線IJ的截面中,該第二 溝渠層422之溝渠結構452a可為連續的溝渠結構。於一些實施例中,該通孔結構452b之底部可浮動於該第一溝渠層418的溝渠結構452a之間。
圖4J概要地說明圖4E之連接結構的仍又另一示範截面視圖(例如沿著剖線KL)。圖4J之連接結構可與關於圖4F及4G所敘述的實施例一致。於一些實施例中,如可在圖4J中被看見,於沿著圖4E之線KL的截面中,該第一溝渠層418及該第二溝渠層422之溝渠結構452a可為連續的溝渠結構。於一些實施例中,該通孔結構452b之底部可著落(例如直接接觸)在該第一溝渠層418的溝渠結構452a上。
圖4K在該第二溝渠層422上形成一或多個互連層(例如具有通孔結構452a的第二通孔層424),及移去該裝置層(例如圖4E-F的裝置層416)之材料以暴露該連接結構的介面454之後,概要地說明該連接結構之示範截面視圖(例如沿著圖4E之線EF)。參考圖3及4K,於一些實施例中,TSV 204a可為藉由凹入該半導體基板205的第二表面205b及藉由蝕刻製程移去該裝置層316(於一些實施例中,包含虛擬電晶體裝置)之材料,以暴露該連接結構314的介面354、454所形成。該TSV 204a之材料可被沈積於該第二表面205b中所形成的凹部中,以提供該TSV 204a。該介面454可與關於該介面354所敘述之實施例一致。
圖5係按照一些實施例用於製造具有用於TSV(例如 圖3之TSV 204a)用的連接結構(例如圖3之連接結構314)的晶粒(例如圖2之第一晶粒201a)的方法500之流程圖。用於對應多數TSVs的多數連接結構可使用類似技術被形成。該方法500可與關於圖1經過4K所敘述之技術一致,且反之亦然。
在502,該方法500可包含提供具有第一表面(例如圖3之第一表面205a)及與該第一表面相反的第二表面(例如圖3之第二表面205b)的半導體基板(例如圖3之半導體基板205)。
在504,該方法500可包含形成一裝置層(例如圖3的裝置層316),其包含一或多個電晶體裝置(例如圖3之電晶體裝置316a)。於一些實施例中,形成該裝置層可另包含於一區域中形成一或多個虛擬電晶體裝置(例如圖3的虛擬電晶體裝置316b),一或多個TSVs(例如圖3之TSV 204a)將在此區域中被形成。
在506,該方法500可包含於該裝置層上形成互連層(例如圖3的互連層302),該互連層包含互連結構(例如圖3之第二互連結構317),其被建構來對於一或多個對應TSVs(例如圖3的TSV 204a)提供一或多個連接結構(例如圖3之連接結構314)。形成該互連層可另包含形成互連結構(例如圖3的第一互連結構315),其被建構來路由電信號至該一或多個電晶體裝置(例如圖3之電晶體裝置316a)。該第二互連結構可為藉由在該裝置層上形成第一溝渠層(例如圖3的MT1層318)所形成,該 第一溝渠層包含被建構在該等溝渠結構之間具有區域的格柵圖案中之溝渠結構(例如圖3的溝渠結構352a),並在該第一溝渠層上形成第一通孔層(例如VA1層320),該第一通孔層包含至少局部地設置於該等溝渠結構間之區域中的通孔結構(例如圖3的通孔結構352b)。
形成該第二互連結構可另包含在該第一通孔層上形成第二溝渠層(例如圖3的MT2層322)。該第二溝渠層可包含設置在該第一通孔層的通孔結構上之溝渠結構。該第二互連結構可為使用任一合適之技術所形成,包含譬如熟知的雙鑲嵌結構或SAV製程。於一些實施例中,該第一互連結構可被形成為被使用於形成該第二互連結構之相同製程的一部份(例如相同的沈積、蝕刻、及/或平版印刷術操作)。
在508,該方法500可另包含於該第一表面及該第二表面之間形成一或多個TSVs(例如圖3的TSV 204a),該一或多個TSVs係與該一或多個對應的連接結構電耦接。於一些實施例中,形成該一或多個TSVs包含移去該半導體基板(例如經過該半導體基板的第二表面)及該裝置層之材料,以形成暴露該一或多個連接結構的開口。該半導體基板及該裝置層之材料(例如於一些實施例中包含虛擬電晶體裝置)可使用任何合適之熟知蝕刻製程被移去。該半導體基板之材料的移去可暴露該等連接結構之介面(例如圖3的介面354)。導電材料可使用任一合適之技術被沈積,以對該等連接結構由該半導體基板的第二表 面充填該開口及提供一電路徑。
於一些實施例中,在沈積該導電材料之前,形成該一或多個TSVs可另包含在該半導體基板之表面上於該開口中形成一電絕緣層(例如圖3的電絕緣層356)。在一些實施例中,於沈積該導電材料之前,形成該一或多個TSVs可另包含在該電絕緣層及/或該一或多個連接結構上(例如在該介面上)形成一阻障層。於一些實施例中,形成該阻障層可在形成該電絕緣層之後被施行。
各種操作依序被敘述為多數離散操作,並以最有助於了解所主張之主題的方式。然而,敘述之順序將不被解釋為隱含這些操作係必定視順序而定的。本揭示內容之實施例可使用任一合適之硬體及/或軟體被實施為一系統(例如計算裝置)中,以如所想要地建構。圖6概要地說明按照一些實施例的計算裝置600,其可包含具有在此中所敘述之連接結構(例如圖3的連接結構314)之一或多個零組件(例如第一晶粒201a)。該計算裝置600可收納一機板、諸如主機板602。該主機板602可包含許多零組件,包含、但不限於處理器604及至少一通訊晶片606。 該處理器604可被物理及電力地耦接至該主機板602。於一些實施中,該至少一通訊晶片606亦可被物理及電力地耦接至該主機板602。於進一步實施中,該通訊晶片606可為該處理器604的一部份。
視其應用而定,計算裝置600可包含其他零組件,其可或不能被物理及電力地耦接至該主機板602。這些其他 零組件可包含、但不限於揮發性記憶體(例如DRAM)、非揮發性記憶體(例如ROM)、快閃記憶體、繪圖處理器、數位信號處理器、密碼機處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統裝置(GPS)裝置、羅盤、蓋氏計數器、加速計、陀螺儀、喇叭、照相機、或大量儲存裝置(諸如硬碟機、光碟(CD)、數位多用途磁碟(DVD)等)。
該通訊晶片606可能夠施行無線通訊,用於資料之傳送至該計算裝置600及由該計算裝置600傳送資料。該“無線”一詞及其衍生詞可被使用來敘述電路、裝置、系統、方法、技術、通訊通道等,其可經過該調制的電磁輻射之使用並經過非固態媒體傳達資料。該名詞不隱含該等相關裝置未含有任何電線,雖然於一些實施例中它們可能未含有。該通訊晶片606可實施許多無線標準或協定之任一者,包含、但不限於電機電子工程師學會(IEEE)標準,包含Wi-Fi(IEEE 802.11家族)、IEEE 802.16標準(例如IEEE 802.16-2005修改案)、隨著任何修改案、更新、及/或修訂的長期演進技術(LTE)專案(例如先進LTE專案、超級行動寬帶(UMB)專案(亦被稱為“3GPP2”)等)。IEEE 802.16相容的BWA網路大致上被稱為WiMAX網路,即代表全球互通微波存取的縮寫字,其係用於通過該IEEE 802.16標準用之符合度及可交互運作性測試的產品之保證商標。該通訊晶片606可按照 用於全球行動通訊系統(GSM)、整體封包無線電服務(GPRS)、通用行動通訊系統(UMTS)、高速封包存取(HSPA)、演進式HSPA(E-HSPA)、或LTE網路來操作。該通訊晶片606可按照全球行動通訊系統(GSM)增強數據率演進(EDGE)、GSM EDGE無線接入網絡(GERAN)、通用陸地無線接入網絡(UTRAN)、或演進式UTRAN(E-UTRAN)來操作。該通訊晶片606可按照分碼多工存取(CDMA)、分時多工存取(TDMA)、數位增強無繩通信(DECT)、演進資料最佳化(EV-DO)、其衍生者、以及任何其他被規定為3G、4G、5G、及超出者的無線協定來操作。於其他實施例中,該通訊晶片606可按照其他無線協定來操作。
該計算裝置600可包含複數通訊晶片606。例如,第一通訊晶片606可被專用於較短範圍無線通訊、諸如Wi-Fi及Bluetooth,且第二通訊晶片606可被專用於較長範圍無線通訊、諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、及其他者。
該計算裝置600的處理器604可包含晶粒(例如圖2的第一晶粒201a)或IC封裝組件(例如圖2之IC封裝組件200),並具有如在此中所敘述之一或多個連接結構(例如圖3的連接結構314)。譬如,該處理器604可包含被安裝在該主機板602上之封裝組件(例如圖3之IC封裝組件200)中具體化的晶粒(例如圖2之第一晶粒201a)。該“處理器”一詞可意指任何裝置或裝置的一部 份,其處理來自暫存器及/或記憶體之電子資料,以將該電子資料轉變成其他可被儲存於暫存器及/或記憶體中之電子資料。
該通訊晶片606亦可包含在封裝組件(例如圖2之IC封裝組件200)中具體化的晶粒(例如圖2的第一晶粒201a)。於進一步實施中,安置在該計算裝置600內之另一零組件(例如記憶體裝置或另一積體電路裝置)可在封裝組件(例如圖2之IC封裝組件200)中具體化(例如圖2的第一晶粒201a)。
於各種實施中,該計算裝置600可為膝上型電腦、連網小筆電、筆記型電腦、超輕薄筆電、智慧型手機、平板電腦、個人數位助理器(PDA)、超級行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、手提式音樂播放器、或數位錄影機。於進一步實施中,該計算裝置600可為處理資料之任何另一電子裝置。
包含在該發明摘要中被敘述者,本發明之說明性實施的上面敘述係不意欲為詳盡的或將本發明限制於所揭示之精確形式。雖然用於本發明的特定實施及範例在此中用於說明之目的被敘述,各種同等修改係可能在本發明之範圍內,如那些熟諳該有關技藝者將認知。
以該上面詳細敘述之觀點,這些修改可對本發明被作成。於以下申請專利範圍中所使用之術語將不被解釋為把本發明限制於該說明書及該等申請專利範圍中所揭示之特 定實施。反之,本發明的範圍完全被以下申請專利範圍所決定,其按照申請專利範圍闡明之經確立的原則被解釋。
202‧‧‧主動層
204a‧‧‧矽穿孔
205‧‧‧基板
205a‧‧‧第一表面
205b‧‧‧第二表面
206a‧‧‧互連部
300‧‧‧部份
302‧‧‧互連層
314‧‧‧連接結構
315‧‧‧互連結構
316‧‧‧裝置層
316a‧‧‧電晶體裝置
316b‧‧‧電晶體裝置
317‧‧‧互連結構
318‧‧‧溝渠層
320‧‧‧通孔層
322‧‧‧溝渠層
324‧‧‧通孔層
326‧‧‧間層電介體
327‧‧‧溝渠層
328‧‧‧通孔層
330‧‧‧溝渠層
332‧‧‧通孔層
334‧‧‧溝渠層
336‧‧‧通孔層
338‧‧‧溝渠層
340‧‧‧通孔層
342‧‧‧溝渠層
344‧‧‧墊片
346‧‧‧鈍化層
348‧‧‧閘極結構
350‧‧‧源極/汲極元件
352a‧‧‧互連結構
352b‧‧‧互連結構
354‧‧‧介面
356‧‧‧絕緣層
358‧‧‧接點
W1‧‧‧寬度
W2‧‧‧寬度

Claims (20)

  1. 一種積體電路(IC)封裝組件,該組件包括:半導體基板,具有第一表面及與該第一表面相反的第二表面;裝置層,設置在該半導體基板之第一表面上,該裝置層包含一或多個電晶體裝置;互連層,設置在該裝置層上,該互連層包含複數互連結構;及一或多個矽穿孔(TSVs),設置在該第一表面與該第二表面之間,其中該複數互連結構包含第一互連結構,其被建構成路由電信號至該一或多個電晶體裝置,及第二互連結構,其係與該一或多個TSVs電耦接,且被建構成對於該一或多個TSVs提供一或多個對應連接結構。
  2. 如申請專利範圍第1項之組件,其中該第二互連結構包含:第一溝渠層(MT1)之溝渠結構,其被設置在該一或多個TSVs及該第二互連結構的至少一部份之介面;及第一通孔層(VA1)之通孔結構,其被設置在該介面,該VA1層被設置在該MT1層上。
  3. 如申請專利範圍第2項之組件,其中:該溝渠結構被建構在一具有於該溝渠結構間之區域的格柵圖案中;及 該通孔結構係至少局部地設置於該溝渠結構間之區域中。
  4. 如申請專利範圍第2~3項的任一項之組件,其中該溝渠結構之個別溝渠結構的寬度係由50奈米(nm)至150nm;及該一或多個TSVs的TSV之寬度係大於1000nm。
  5. 如申請專利範圍第2~3項的任一項之組件,其中該第二互連結構另包含被設置在該VA1層的通孔結構上之第二溝渠層(MT2)的溝渠結構,該第二溝渠層被設置在該VA1層上;及其中該第一互連結構包含未被設置在該介面之MT1層的溝渠結構。
  6. 如申請專利範圍第2~3項的任一項之組件,其中:該MT1層係相對該互連層之其他溝渠層定位成最接近於該裝置層;及該裝置層包含被設置於該一或多個電晶體裝置及該一或多個TSVs之間的一或多個虛擬電晶體裝置。
  7. 如申請專利範圍第1-3項的任一項之組件,其中該第一互連結構及該第二互連結構包括銅(Cu),該一或多個TSVs包括Cu,且該半導體基板包括矽(Si),該組件另包括:電絕緣層,被設置於該一或多個TSVs之Cu及該半導體基板的Si之間;及阻障層,被設置於該一或多個TSVs之Cu及該半導 體基板的Si之間,其中該電絕緣層包含氧化物或氮化物材料,且該阻障層包含氮化鈦(TiN)或氮化鉭(TaN)。
  8. 一種製造具有用於矽穿孔(TSV)之連接結構的晶粒之方法,該方法包括:提供半導體基板,該基板具有第一表面及與該第一表面相反的第二表面;形成一在該半導體基板的第一表面上之裝置層,該裝置層包含一或多個電晶體裝置;在該裝置層上形成互連層,包含被建構成路由電信號至該一或多個電晶體裝置的第一互連結構、與被建構成提供用於一或多個對應矽穿孔(TSVs)的一或多個連接結構之第二互連結構;及在該第一表面及該第二表面之間形成一或多個矽穿孔(TSVs),其中該第二互連結構係與該一或多個TSVs電耦接。
  9. 如申請專利範圍第8項之方法,其中形成該裝置層包括:在該一或多個TSVs被形成的區域中形成一或多個虛擬電晶體裝置。
  10. 如申請專利範圍第8項之方法,其中形成該互連層包含藉由以下形成該第二互連結構:在該裝置層上形成第一溝渠層(MT1),包含被建構在一格柵圖案中之溝渠結構,該格柵圖案具有於該溝渠結 構間之區域;及在該第一溝渠層上形成第一通孔層(VA1),包含至少局部地設置於該溝渠結構間之區域中的通孔結構。
  11. 如申請專利範圍第10項之方法,其中形成該第二互連結構另包括:在該VA1層上形成第二溝渠層(MT2),其中該MT2層包含被設置在該VA1層的通孔結構上之溝渠結構。
  12. 如申請專利範圍第10~11項的任一項之方法,其中形成該第二互連結構係使用雙鑲嵌結構或自行實現通孔對準(SAV)製程來施行;及其中形成該互連層包含藉由形成該MT1層來形成該第一互連結構,該MT1層係相對該互連層的其他溝渠層定位成最接近該裝置層。
  13. 如申請專利範圍第8~11項的任一項之方法,其中形成該一或多個TSVs包括:移去該半導體基板及該裝置層的材料,以形成暴露該一或多個連接結構之開口;及沈積導電材料,以充填該開口及對該連接結構提供一來自該半導體基板之第二表面的電路徑。
  14. 如申請專利範圍第13項之方法,其中形成該一或多個TSVs另包括:在沈積該導電材料之前,於該開口中在該半導體基板之表面上形成電絕緣層;及 於沈積該導電材料之前,在該電絕緣層或該一或多個連接結構上形成阻障層。
  15. 一種計算裝置,包括:第一晶粒,包含:半導體基板,具有第一表面及與該第一表面相反的第二表面;裝置層,設置在該半導體基板之第一表面上,該裝置層包含一或多個電晶體裝置;互連層,設置在該裝置層上,該互連層包含複數互連結構;及一或多個矽穿孔(TSVs),設置在該第一表面與該第二表面之間,其中該複數互連結構包含第一互連結構,其被建構成路由電信號至該一或多個電晶體裝置,及第二互連結構,其係與該一或多個TSVs電耦接,且被建構成對於該一或多個TSVs提供一或多個對應連接結構;及第二晶粒,經過該一或多個TSVs與該第一晶粒電耦接。
  16. 如申請專利範圍第15項之計算裝置,其中該第二互連結構包含:第一溝渠層(MT1)之溝渠結構,其被設置在該一或多個TSVs及該第二互連結構之介面;及第一通孔層(VA1)之通孔結構,其被設置在該介 面,該VA1層被設置在該第一溝渠層上。
  17. 如申請專利範圍第15項之計算裝置,另包括:封裝基板,其中該第一晶粒及該第二晶粒於一堆疊組構中被安裝在該封裝基板上,且其中該一或多個TSVs被建構成於該第一晶粒及該第二晶粒之間路由輸入/輸出(I/O)信號。
  18. 如申請專利範圍第17項之計算裝置,其中:該第一晶粒為處理器;該第二晶粒為記憶體;該第一晶粒係於覆晶組構中與該封裝基板耦接;該第二晶粒係於覆晶組構中與該第一晶粒耦接;該一或多個TSVs被設置在該第一晶粒的中心區域中;及該一或多個TSVs被建構成路由輸入/輸出信號(I/O)及/或於該第二晶粒與該封裝基板之間供電/接地。
  19. 如申請專利範圍第17~18項的任一項之計算裝置,另包括:電路板,其中該封裝基板係與該電路板電耦接,且其中該一或多個TSVs被組構成在該第二晶粒及該電路板之間路由電信號。
  20. 如申請專利範圍第15~18項的任一項之計算裝置,另包括天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、陀螺 儀、喇叭、照相機、或與該第一晶粒或該第二晶粒操作地耦接的大量儲存裝置之一或多個,其中該計算裝置係膝上型電腦、連網小筆電、筆記型電腦、超輕薄筆電、智慧型手機、平板電腦、個人數位助理器(PDA)、超級行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、手提式音樂播放器、或數位錄影機之其中一者。
TW102144057A 2012-12-21 2013-12-02 用於矽穿孔之連接結構 TWI515858B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/725,917 US8933564B2 (en) 2012-12-21 2012-12-21 Landing structure for through-silicon via

Publications (2)

Publication Number Publication Date
TW201442183A true TW201442183A (zh) 2014-11-01
TWI515858B TWI515858B (zh) 2016-01-01

Family

ID=50973735

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102144057A TWI515858B (zh) 2012-12-21 2013-12-02 用於矽穿孔之連接結構
TW104134034A TWI582934B (zh) 2012-12-21 2013-12-02 用於矽穿孔之連接結構

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104134034A TWI582934B (zh) 2012-12-21 2013-12-02 用於矽穿孔之連接結構

Country Status (7)

Country Link
US (2) US8933564B2 (zh)
KR (1) KR102179286B1 (zh)
CN (1) CN104838495B (zh)
DE (1) DE112013005582T5 (zh)
GB (1) GB2523500B (zh)
TW (2) TWI515858B (zh)
WO (1) WO2014100278A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI664704B (zh) * 2016-11-22 2019-07-01 台達電子工業股份有限公司 半導體裝置及包含其之封裝結構

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140028947A (ko) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US20150014852A1 (en) * 2013-07-12 2015-01-15 Yueli Liu Package assembly configurations for multiple dies and associated techniques
US9786581B2 (en) 2014-03-10 2017-10-10 Intel Corporation Through-silicon via (TSV)-based devices and associated techniques and configurations
KR102471533B1 (ko) 2014-08-07 2022-11-28 인텔 코포레이션 패시브 평면형 디바이스를 갖는 rf 회로 장치 및 패시브 평면형 디바이스를 갖는 rf 회로 시스템
CN105742226B (zh) * 2014-12-09 2019-05-21 中国科学院微电子研究所 半导体器件制造方法
US20170052458A1 (en) * 2015-08-21 2017-02-23 Globalfoundries Inc. Diffractive overlay mark
WO2017072871A1 (ja) * 2015-10-28 2017-05-04 オリンパス株式会社 半導体装置
US9929149B2 (en) * 2016-06-21 2018-03-27 Arm Limited Using inter-tier vias in integrated circuits
WO2018004662A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Methods and apparatus to prevent through-silicon-via shorting
US10199315B2 (en) * 2016-08-29 2019-02-05 Globalfoundries Inc. Post zero via layer keep out zone over through silicon via reducing BEOL pumping effects
US10163758B1 (en) * 2017-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US11476366B2 (en) * 2018-04-02 2022-10-18 Intel Corporation Transistor including wrap around source and drain contacts
US11081426B2 (en) 2018-07-31 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC power grid
US11024592B2 (en) 2019-10-18 2021-06-01 Nanya Technology Corporation Semiconductor device with spacer over sidewall of bonding pad and method for preparing the same
EP4371160A1 (en) * 2021-07-13 2024-05-22 Zinite Corporation Thin film semiconductor switching device

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US20040002210A1 (en) * 2002-06-28 2004-01-01 Goldberg Cindy K. Interconnect structure and method for forming
US7786584B2 (en) * 2007-11-26 2010-08-31 Infineon Technologies Ag Through substrate via semiconductor components
US8138036B2 (en) * 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
JP2010080801A (ja) * 2008-09-29 2010-04-08 Hitachi Ltd 半導体装置
US8168529B2 (en) * 2009-01-26 2012-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Forming seal ring in an integrated circuit die
JP5280880B2 (ja) * 2009-02-10 2013-09-04 株式会社日立製作所 半導体集積回路装置
US20100206737A1 (en) 2009-02-17 2010-08-19 Preisser Robert F Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (tsv)
US8487444B2 (en) 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
US8361875B2 (en) 2009-03-12 2013-01-29 International Business Machines Corporation Deep trench capacitor on backside of a semiconductor substrate
CN101877336B (zh) * 2009-04-30 2012-07-25 台湾积体电路制造股份有限公司 集成电路结构与形成集成电路结构的方法
US8759949B2 (en) * 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8174124B2 (en) * 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
JP2011228419A (ja) * 2010-04-19 2011-11-10 Renesas Electronics Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US8338939B2 (en) * 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
TWI416706B (zh) 2010-12-20 2013-11-21 Univ Nat Chiao Tung 三維積體電路的靜電放電防護結構
US8723049B2 (en) * 2011-06-09 2014-05-13 Tessera, Inc. Low-stress TSV design using conductive particles
KR20130071884A (ko) * 2011-12-21 2013-07-01 삼성전자주식회사 다이 패키지 및 이를 포함하는 시스템

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI664704B (zh) * 2016-11-22 2019-07-01 台達電子工業股份有限公司 半導體裝置及包含其之封裝結構
US10741644B2 (en) 2016-11-22 2020-08-11 Delta Electronics, Inc. Semiconductor devices with via structure and package structures comprising the same

Also Published As

Publication number Publication date
TWI515858B (zh) 2016-01-01
TW201620107A (zh) 2016-06-01
GB201509994D0 (en) 2015-07-22
TWI582934B (zh) 2017-05-11
GB2523500B (en) 2018-04-11
US20140175651A1 (en) 2014-06-26
CN104838495B (zh) 2019-03-29
GB2523500A (en) 2015-08-26
DE112013005582T5 (de) 2015-08-27
US20150137368A1 (en) 2015-05-21
US8933564B2 (en) 2015-01-13
CN104838495A (zh) 2015-08-12
KR102179286B1 (ko) 2020-11-16
WO2014100278A1 (en) 2014-06-26
KR20150097539A (ko) 2015-08-26

Similar Documents

Publication Publication Date Title
TWI515858B (zh) 用於矽穿孔之連接結構
TWI784884B (zh) 製造電子裝置及電腦裝置之方法
TWI706469B (zh) 形成背側自對準通孔的方法及所形成的結構
KR102312732B1 (ko) 타이트한 피치의 금속 상호접속층들의 상부 및 하부에 비아를 자기 정렬하는 구조체 및 방법
CN107004633B (zh) 使用交替硬掩模和密闭性蚀刻停止衬垫方案使紧密间距导电层与引导通孔接触的方法和结构
TW202013646A (zh) 裝置層互連
US11410908B2 (en) Integrated circuit devices with front-end metal structures
US20220262791A1 (en) Integrated circuit structure with front side signal lines and backside power delivery
TWI839470B (zh) 具有垂直溝槽之源極或汲極結構
TW201635548A (zh) 具有不對稱外形之鰭部結構的裝置及形成方法
TWI729035B (zh) 在閘極下具有子鰭介電區的電晶體、半導體裝置及製造其之程序以及運算裝置
TW201729441A (zh) 用於磁電阻隨機存取記憶體裝置之電氣端子
TW201620053A (zh) 用於填充高深寬比之技術,具有多層金屬層之窄結構及相關聯組態
TW201721808A (zh) 在短通道互補金屬氧化物半導體(cmos)晶片上的用於低洩漏的應用的長通道金屬氧化物半導體(mos)電晶體
TW201733117A (zh) 基於鰭部的III-V/Si或Ge的互補金屬氧化物半導體(CMOS)自對準閘極邊緣(SAGE)整合
EP4109526A1 (en) Capacitor formed with coupled dies
TW201924021A (zh) 用於積體電路結構之間隔物的方向性移除
KR20160105385A (ko) 토폴로지에 의한 금속 퓨즈
EP4258334A1 (en) Epitaxial layers of a transistor electrically coupled with a backside contact metal
US20230317803A1 (en) Transistor backside routing layers with contacts having varying depths
US20230197779A1 (en) Integrated circuit structure with backside power delivery
TWI722056B (zh) 用於形成具有改進的對準及電容降低的導電特徵的技術
TW202301677A (zh) 反向錐度通孔至自對準閘極接點
TW202422879A (zh) 具有閘極接點之自對準閘極端蓋(sage)架構
TW202316668A (zh) 用於閘極帽蓋加強的保護層