TW201341575A - 混合式脈動電漿處理系統 - Google Patents

混合式脈動電漿處理系統 Download PDF

Info

Publication number
TW201341575A
TW201341575A TW101142477A TW101142477A TW201341575A TW 201341575 A TW201341575 A TW 201341575A TW 101142477 A TW101142477 A TW 101142477A TW 101142477 A TW101142477 A TW 101142477A TW 201341575 A TW201341575 A TW 201341575A
Authority
TW
Taiwan
Prior art keywords
gas
plasma processing
pulsation
source
processing chamber
Prior art date
Application number
TW101142477A
Other languages
English (en)
Other versions
TWI620831B (zh
Inventor
Keren Jacobs Kanarik
Joydeep Guha
Aaron Scott Eppler
Qian Fu
Wonchul Lee
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201341575A publication Critical patent/TW201341575A/zh
Application granted granted Critical
Publication of TWI620831B publication Critical patent/TWI620831B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/24Radiofrequency or microwave generators

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一種用於處理電漿處理系統的電漿處理室中之基板的方法,該電漿處理室具有至少一電漿產生源及用以提供一處理氣體進入該電漿處理室之內部區域之至少一氣體源。此方法使具有一射頻頻率之射頻信號激化電漿產生源。此方法還包含使射頻信號藉由至少一第一源脈動頻率來脈動,以致射頻信號振幅、相位及頻率至少其中之一具有於射頻第一源脈動頻率相關的射頻脈動期間之第一部分的第一值,以及於射頻第一源脈動頻率相關的射頻脈動間之第二部分的第二值。此方法更包含氣體脈動頻率來脈動該氣體源,以致處理氣體在氣體脈動頻率相關的氣體脈動期間之第一部分以第一速率被流入電漿處理室,而處理氣體在氣體脈動頻率相關的氣體脈動期間之第二部分以第二個速率被流入電漿處理室。

Description

混合式脈動電漿處理系統 【優先權主張】
根據美國專利法119(e)本案申請主張優先權,以一個共同擁有之臨時專利申請案名為「混合式脈動電漿處理系統」(HYBRID PULSING PLASMA PROCESSING SYSTEMS),美國專利申請案號61/560,001,由Keren Jacobs Kanarik於2011年11月15日提出申請,後文中予以併入以供參考。
一種用於處理電漿處理系統的電漿處理室中之基板的方法,此方法使具有一射頻頻率之射頻信號激化電漿產生源。此方法更包含氣體脈動頻率來脈動該氣體源,以致處理氣體在氣體脈動頻率相關的氣體脈動期間以一速率被流入電漿處理室。
電漿處理系統長久以來被使用在處理基板(例如,晶圓或平板顯示器或LCD面板),以形成積體電路或其它電子產品。一般電漿系統可包括電容式耦合電漿處理系統及電感式耦合電漿處理系統。
一般來說,電漿基板處理涉及離子和自由基的平衡(也稱為電中性)。例如,對於具有自由基多過離子的電漿,蝕刻傾向於化學性和等向性。而具有離子多過自由基的電漿,蝕刻則為較物理性且選擇性不佳。在傳統的電漿室內,電漿裡的離子和自由基通常會緊密耦合。由於獨立控制離子為主的(ion-dominant)電漿或自由基為主的(radical-dominant)電漿控制手段有限,因此製程窗(以處理參數的面向來說)趨於狹窄。
隨著電子設備變得更小及/或更複雜,蝕刻的必要條件,如 選擇性、均勻性、高深寬比、取決於深寬比的蝕刻等的需求已經增加。雖然有可能透過改變某些參數,例如壓力、射頻偏壓電源等,在目前的產品上執行蝕刻,但對於下一代更小及/或更精密的產品則有不同之蝕刻能力需求。由於離子和自由基無法有效地去耦和被獨立地控制,在某些電漿處理系統中執行某些蝕刻程序以製造這些更小的及/或更複雜的電子元件已受到限制,或者在某些情況變得不實際。
在習知技術中,有時嘗試在蝕刻過程中取得低離子電漿條件,用於在不同時間點調節「離子自由基比」。在習知的架構中,源射頻信號可以被脈動(即開啟和關閉),以在脈動期間裡的一個相位(即脈衝開啟的相位),取得具有正常離子通量的電漿,在脈動期間中另一個相位(即在脈衝關閉的相位),則取得具有較低離子通量的電漿。已知地,源脈動射頻信號可與偏壓射頻信號同步被脈動。
然而觀察顯示,某個程度上來說,習知技術的脈動,能夠在不同的時間點造成正常離子通量與低離子通量之不同相位,即為某些製程開闢了一些操作窗口(operating window),但仍有更大操作窗口之需求。
本發明在一實施例中,係關於一種用於處理電漿處理系統的電漿處理室中之基板的方法,該電漿處理室具有至少一電漿產生源和用以提供一處理氣體進入該電漿處理室之內部區域的至少一氣體源。此方法包括:使具有一射頻頻率之射頻信號激化該電漿產生源。該方法還包括:使一射頻信號藉由至少一第一源脈動頻率來脈動,以致該射頻信號之振幅、相位及頻率至少其中之一具有於射頻第一源脈動頻率相關的射頻脈動期間之第一部分的第一值,以及於該射頻第一源脈動頻率相關的射頻脈動間之第二部分的第二值。該方法亦包括:使用氣體脈動頻率來脈動該氣體源,以致該處理氣體在該氣體脈動頻率相關的氣體脈動期間之第一部分以第一速率被流入該電漿處理室,而該處理氣體在該氣體脈動頻率相關的氣體脈動期間之第二部分以第二速率被流入該電漿處理室。
上述概要僅係本文中所揭露之本發明的多個實施例中之一,且非用以限定本發明之範圍,本發明之範圍將於本文中的申請專利範圍來界定。本發 明以及其他特徵將以下列詳細描述結合隨附圖式說明。
102‧‧‧輸入氣體
120‧‧‧時間時段
122‧‧‧時間時段
154‧‧‧氣體脈衝開啟相位
156‧‧‧氣體脈衝關閉相位
202‧‧‧氣體輸入
204‧‧‧射頻信號
302‧‧‧氣體輸入
304‧‧‧源射頻信號
402‧‧‧氣體脈動信號
404‧‧‧射頻脈動信號
406‧‧‧信號
408‧‧‧信號
410‧‧‧信號
420‧‧‧信號
422‧‧‧信號
430‧‧‧信號
432‧‧‧信號
502‧‧‧步驟
504‧‧‧步驟
506‧‧‧步驟
508‧‧‧步驟
本發明藉由例子進行說明,但這些例子並非以限制本發明,圖中類似的標號表示類似元件,其中:圖1顯示根據一或多個本發明之實施例的一示例性的組合脈動架構,儘管兩者使用不同的脈動頻率,其輸入氣體(如反應氣體及/或惰性氣體)及源射頻信號兩者皆被脈動。
圖2顯示根據一或多個本發明之實施例的另一示例性的組合脈動架構。
圖3顯示根據一或多個本發明的實施例的又另一個示例性的組合脈動架構。
圖4顯示根據一或多個本發明的實施例的其他可能的組合脈動架構。
圖5顯示根據一或多個本發明的實施例之進行組合脈動的步驟。
本發明將參考幾個如所附圖式的實施例來詳細說明本發明。在以下的描述中,提出了許多具體的細節,以助於充份理解本發明。顯而易見地,對於熟習此領域技藝者,在未使用一些或全部的細節仍能實行本發明。在其他例子中,為一般大眾熟知的製程步驟及/或結構將不加以贅述,以免不必要地混淆本發明。
下文中描述各項實施例中包括方法與技術。應當牢記的是,本發明可能涵蓋了製品(article of manufacture),包括儲存用於執行本發明技術的電腦可讀取指令之電腦可讀取媒體。該電腦可讀取媒體包括,例如,半導體、磁性的、光磁的、光學的、或其他形式之電腦可讀取媒體,用以儲存電腦可讀取代碼。此外,本發明亦可涵蓋用於實施本發明實施例的裝置。這種設備可包括專用及/或可程式化的電路,以執行與本發明的實施例相關的任務。此種設備的例子包括通用電腦及/或藉由程式設計化之專用計算裝置,也可能為電腦及可程式化電路組合而成,用以達成該發明之各種 任務。
本發明的實施例係關於一組合脈動架構,其使用一第一脈動頻率以脈動輸入氣體(例,反應氣體及/或惰性氣體),並使用另一第二脈動頻率以脈動源射頻信號。雖然本案使用電感式耦合電漿處理系統和電感式射頻電力源來討論實施例,但應當理解的是,本發明同樣地可適用於電容式耦合電漿處理系統和電容式射頻電力源。
在一或多個實施例中,在電感式耦合電漿處理系統,輸入氣體被較慢的脈動頻率脈動,而電感式源射頻信號則被一不同、更快的脈動頻率脈動。例如,如果電感式源射頻信號頻率為13.56 MHz,當氣體以一個不同的脈動率加以脈動,如,1Hz則其該電感式源射頻信號可以為,例如100Hz來加以脈動。
因此(在此例中),一完整的氣體脈衝循環為1秒。如果氣體脈動之負載循環為70%時,氣體則被開啟一秒氣體脈動期間的70%,關閉一秒的氣體脈動期間的30%。由於源射頻信號脈動率為100 Hz,一個完整的射頻信號脈動期間為10毫秒(ms)。如射頻脈動負載循環為40%,則該射頻的開啟相位(當該13.56 MHz信號為開啟)為10毫秒射頻脈動期間的40%;而關閉相位(當該13.56 MHz信號為關閉)為10毫秒射頻脈動期間的60%。
在一或多個實施例中,當氣體被自身氣體脈動頻率脈動,電感式源射頻信號可以被兩個不同的頻率脈動。例如,在頻率f1的開啟相位,上述13.56MHz射頻信號不僅可被頻率f1之100 Hz脈動,亦可被一不同、更高的頻率脈動。例如,如果在f1脈衝的射頻脈動負載循環為40%,則f1的開啟相位為10毫秒的40%,即4毫秒。然而,在f1之4毫秒開啟相位期間,該射頻信號也可以一不同、更高的頻率f2加以脈動(如400Hz)。
本發明的實施例預期氣體脈衝與射頻脈動可為同步(具有脈動信號之匹配上升緣及/或下降緣)或可為不同步。其負載循環可為恆定的,或可為無關乎其他脈動頻率而變動,或是相依於其他脈動頻率而變動。
在一或多個實施例中,也可以採用線性調頻技術(frequency chirping)。例如,射頻信號可以一週期性或非週期性的方式改變其基本頻 率,所以在任一脈動期間(例如,任何射頻信號或氣體脈動期間)的一相位或部分相位使用不同的頻率(如,60MHz相對於13.56MHz)。同樣地,如果需要的話,氣體脈動頻率可以隨時間以週期性或非週期性的方式做改變。
在一或多個實施例中,上述的氣體和源射頻脈動可以結合另一個參數的一或多個脈動或變化(如偏壓射頻信號之脈動,對電極的直流偏壓之脈動,在不同脈動頻率之多射頻頻率的脈動,改變任何參數的相位等)。
本發明實施例之特徵和優點可參照接下來的圖式與討論而更易理解。
圖1顯示根據本發明之一實施例的示例性組合脈動架構,其中雖然輸入氣體(如反應氣體及/或惰性氣體)與源射頻信號以不同的脈動頻率脈動,兩者皆可被脈動。在圖1的例子中,輸入氣體102的氣體脈動率(定義為1/Tgp,其中Tgp為氣體脈衝期間)約為2秒/脈衝或2 MHz。
13.56 MHz之TCP源射頻信號104,以一射頻脈動率(定義為1/Trfp,其中Trfp為射頻脈動期間)加以脈動。為了澄清射頻脈動的概念,在時段120期間,射頻信號為開啟(如13.56MHz射頻信號),在時段122期間,射頻信號為關閉。氣體脈動率和射頻脈動率各自可有自己的負載循環(定義為脈衝開啟時間除以總脈動期間)。對任何脈衝信號而言,並無要求其負載循環須為50%,該負載循環可隨特定程序之需求而變動。
在一實施例中,氣體脈動和射頻信號脈動在同一負載循環中。在另一實施例中,氣體脈動與射頻信號脈動在獨立控制(即可為不同)之負載循環,以使細部控制最大化。在一或多個實施例中,氣體脈動信號與射頻脈動信號之上升及/或下降緣可為同步。在一或多個實施例中,氣體脈動信號與射頻脈動信號之上升緣及/或下降緣可為不同步。
圖2中,氣體輸入202是以自身氣體脈動頻率加以脈動。然而,當該氣體以自身氣體脈動頻率(定義為1/Tgp,其中Tgp為氣體脈衝期間)加以脈動時,源射頻信號204可以兩個不同頻率加以脈動。例如,射頻信號可以頻率f1(如圖,定義為1/Tf1)加以脈動,當f1脈動之開啟相位期間,也可另一不同、更高的頻率加以脈動。例如,在該f1脈動之開啟相 位期間,射頻信號可以一不同的脈動頻率f2(如圖,定義為1/Tf2)加以脈動。
圖3中,氣體輸入302以其自身氣體脈動頻率加以脈動。然而,雖然氣體以其自身氣體脈動頻率加以脈動,源射頻信號304可以三種不同頻率加以脈動。例如,射頻信號不僅可以頻率f1(如圖,定義為1/Tf1)加以脈動,當f1脈動之開啟相位期間,也可以另一不同、更高的頻率加以脈動。因此在該f1脈動之開啟相位期間,射頻信號可以一不同脈動頻率f2(如圖,定義為1/Tf2)加以脈動。因此在f1脈動之關閉相位期間,該射頻信號可以一不同的脈動頻率f3(如圖,定義為1/Tf3)加以脈動。
另外地或可替代地,雖然在圖1-3之例子中之負載循環為恆定,該負載循環也可變動,不管是以週期性或非週期性的方式,獨立地或相依性於一脈動信號(不論是氣體脈動信號、射頻脈動信號、或其他)之相位。再者,負載循環的改變可以為同步或不同步於任一脈動信號(不論是氣體脈動信號,射頻脈動信號,或其他)之相位。
在一實施例中,在氣體脈衝之開啟相位期間(如圖1中的154),射頻脈動的負載循環被有利地設為一值,在該氣體脈衝之關閉相位期間(如圖1中的156),射頻脈動的負載循環被設為另一值。在一較佳的實施例中,在其氣體脈動之開啟相位期間(如圖1中的154),該射頻脈動的負載循環被有利地設為一值,在氣體脈衝之關閉相位期間(如圖1中的156),射頻脈動的負載循環被設為另一較低值。可以預期地,射頻脈動負載循環之實施例,其中於氣體脈衝之開啟相位期間負載循環較高,於氣體脈衝之關閉相位期間負載循環較低,此設定有利於某些蝕刻。可預期地,射頻脈動負載循環變異之實施例,其中於氣體脈衝之開啟相位期間負載循環較低,於氣體脈衝之關閉相位期間負載循環較高,此設定有利於某些蝕刻。此案所用的術語,當一信號被脈動,在該信號被脈動期間,其負載循環非100%(即,脈動和「恆開啟」是兩個不同的概念)。
另外地或可替代地,線性調頻技術可以與任何脈動信號併用(不論是氣體脈動信號、射頻脈動信號、或其他)。線性調頻技術與射頻脈動信號的關係將於圖4中更詳盡地描述。
在一或多個實施例中,氣體被脈動,使得在氣體脈動開啟相位期間,反應氣體和惰性氣體(如氬氣、氦氣、氙氣、氪、氖等)係如配方所指定者。在氣體脈動關閉相位期間,至少反應氣體和惰性氣體兩者的部分可以被移除。在其它實施例中,在氣體關閉相位期間,至少反應氣體的部分會被移除而由惰性氣體替換。在一有利的實施例中,在氣體脈動關閉相位期間,至少反應氣體的部分被移除而由惰性氣體替換,藉以保持實質上相同的室壓力。
在一或多個實施例中,在氣體脈動關閉相位期間,惰性氣體對流入腔室的總氣體量的百分比不定,可從約X%至約100%,其中X為在氣體脈動開啟相位期間,惰性氣體相對於總氣體流量的百分比。在一個更佳的實施例中,惰性氣體對流入腔室的總氣體量的百分比不定,可從約1.1X至約100%,其中X為氣體脈動開啟相位期間,惰性氣體相對於總氣體流量的百分比。在一個更佳的實施例中,,惰性氣體對流入腔室的總氣體量的百分比不定,可從約1.5X至約100%,其中X為氣體脈動開啟相位期間,惰性氣體對於總氣體流量的百分比。
氣體脈動率的高端受限於氣體在處理室的停留時間(頻率上限)。此停留時間之概念是該領域技術人員所熟知。例如,電容式耦合室一般需幾十毫秒的停留時間。另一例為電感式耦合室,通常需幾十毫秒到幾百毫秒的停留時間。
在一或多個實施例中,氣體脈動期間範圍可為10毫秒至50秒,較佳的是從50毫秒到約10秒,更佳的是大約500毫秒至約5秒。
根據本發明的實施例,源射頻脈動期間低於氣體脈動期間。射頻脈動頻率之上端受限於射頻信號之頻率(例如,如果射頻頻率為13.56MHz,則13.56MHz為射頻脈動頻率之上限)。
圖4顯示根據本發明的一或多個實施例之其他可能組合。在圖4中,另一信號406(如偏壓射頻或任何其他週期性參數)可與氣體脈動信號402及源射頻脈動信號404一起被脈動,(如430和432所示者被脈動)。信號406的脈動可以與系統中的任何其他信號同步或不同步。
可替代地或另外地,另一信號408(如直流偏壓或溫度或壓 力或任何其他非週期性參數)可以與氣體脈動信號402和源射頻脈動信號404一起被脈動。信號408的脈動可以與系統中的任何其他信號同步或不同步。
可替代地或另外地,另一信號410(如射頻源或射頻偏壓或任何其他非週期性參數)可與氣體脈動信號402一起被線性調頻及脈動。例如,當信號410正在脈動,信號410之頻率取決於信號410或另一信號(如氣體脈動信號)之相位,或因應於來自工具控制電腦之控制信號而改變。在圖1的例子中,參考號碼422指向一高於參考號碼420相關頻率之頻率區域。例如,較低頻率之422可為27 MHz而較高頻率之420可為60 MHz。信號410之脈動及/或線性調頻技術可被設為與系統中的任一其他信號同步或不同步。
圖5顯示,根據本發明一實施例,為用以執行組合脈動之步驟。圖5之步驟,舉例來說,也可以一或多個電腦控制之軟體執行。該軟體可被儲存在電腦可讀取媒體中,包含在一或多個實施例中之非暫時性電腦可讀取媒體。
在步驟502中,於一電漿處理室中準備一基板。在步驟504中,當脈動射頻源與輸入氣體時,該基板被處理。一或多個其他信號之選擇性脈動(如射頻偏壓或另一信號)被顯示於步驟506。在步驟508,當脈動該射頻源及輸入氣體時,頻率、負載循環、氣體百分比等可選擇性地被改變。
本發明之實施例亦可採用於共同擁有之臨時專利申請案名為「電漿處理系統中之惰性氣體為主之脈動」(Inert-Dominant Pulsing In Plasma Processing System)中揭露之一或多個脈動技術,專利申請代理人案第P2337P/LMRX-P226P1號,於同日提出申請,並併入此案以供參考。
從前述可理解,本發明之實施例提供另一控制手段,可加寬蝕刻處理的制程窗。由於許多目前的電漿室已設置了脈動閥或脈動質量流量控制器,以及可產生脈衝之射頻電力源,因此無需昂貴的硬體更新即可獲得製程窗加寬之成效。當前工具業主可借力於現有的蝕刻處理系統,以小幅之軟體升級及/或硬體改變以改進蝕刻。再者,由於具備改進的及/或更 精確的離子自由基通量比之控制,選擇性、均勻性、及反轉活性離子蝕刻延遲效應(RIE lag effects)可被改進。例如,透過增加之離子相對於自由基之通量可改善在某些情況下,基板上一層至另一層之選擇性。藉由此改良過之離子/自由基之控制,原子層蝕刻(atomic layer etch,ALE)可更有效地被達成。
雖然本發明已以數個較佳的實施例加以闡述,改變、置換和均等物仍落入本發明範圍內。例如,圖式中所討論之脈動技術可與任何組合結合以符合特定處理程序之要求。例如,負載循環變異可與本文裡參照任一(即任一之部分或多個之組合)圖式討論之技術結合施行。同樣地,線性調頻技術可與本文裡參照任一(即任一之部分或多個之組合)圖式討論之技術及/或負載循環變異結合施行。同樣地,惰性氣體的置換亦可與本文裡參照任一(即任一之部分或多個之組合)圖式討論之技術及/或負載循環變異及/或線性調頻技術結合施行。重點是雖然技術以單獨地及/或與一特定圖式參照討論,該各項技術可以任意組合以助於執行特定處理程序。
雖然各項示例於本文中被提供,這些實施例意圖為說明性的而非對於本發明造成限制。此外,在此提供的標題和摘要是為便於說明,不應被用來解釋本發明權利要求之範圍。如果文中使用術語「集」(set),則此術語旨在解說其一般被理解之數學定義,涵蓋零、一或一以上的成員。還應當注意的是,還有許多實施本發明之替代方法與設備。
402‧‧‧氣體脈動信號
404‧‧‧射頻脈動信號
406‧‧‧信號
408‧‧‧信號
410‧‧‧信號
420‧‧‧信號
422‧‧‧信號
430‧‧‧信號
432‧‧‧信號

Claims (24)

  1. 一種用於處理電漿處理系統的電漿處理室中之基板的方法,該電漿處理室具有至少一電漿產生源和用以提供一處理氣體進入該電漿處理室之內部區域的至少一氣體源,該方法包括:使具有一射頻(RF,Radio Frequency)頻率之射頻信號激化該電漿產生源;使該射頻信號藉由至少一第一源脈動頻率來脈動,以致該射頻信號之振幅、相位及頻率至少其中之一具有於該射頻第一源脈動頻率相關的射頻脈動期間之第一部分的第一值,以及於該射頻第一源脈動頻率相關的射頻脈動間之第二部分的第二值;及使用氣體脈動頻率來脈動該氣體源,以致該處理氣體在該氣體脈動頻率相關的氣體脈動期間之第一部分以第一速率被流入該電漿處理室,而該處理氣體在該氣體脈動頻率相關的氣體脈動期間之第二部分以第二個速率被流入該電漿處理室。
  2. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該電漿處理室表示一電感式耦合電漿處理室,而該至少一電漿產生源表示至少一電感式天線。
  3. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該電漿處理室表示一電容式耦合電漿處理室,而該至少一電漿產生源表示一電極。
  4. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該源脈動頻率高於該氣體脈動頻率。
  5. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該射頻信號亦可以與第一源脈動頻率不同之第二源脈動頻率來脈動。
  6. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中使用該第一源脈動頻率脈動射頻信號與使用該氣體脈動頻率脈動氣體源為同步。
  7. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中使用該第一源脈動頻率脈動射頻信號與使用該氣體脈動頻率脈動氣體源為不同步。
  8. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該氣體源的脈動使用恆定之負載循環。
  9. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該氣體源的脈動使用變動之負載循環。
  10. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該射頻信號的脈動使用恆定之負載循環。
  11. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該射頻信號的脈動使用變動之負載循環。
  12. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該氣體源的脈動使用線性調頻技術(frequency chirping)。
  13. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該射頻信號的脈動使用線性調頻技術。
  14. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,更包含脈動該射頻信號與氣體源以外之另一參數,於射頻信號及氣體源被脈動期間,使用另一脈動頻率。
  15. 如申請專利範圍第14項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該另一參數表示偏壓射頻信號。
  16. 如申請專利範圍第14項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該另一參數表示偏壓直流信號。
  17. 如申請專利範圍第1項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該處理氣體於該氣體脈動期間之第一部份具有構成氣體之第一混合組成,而於該氣體脈動期間之第二部分具有構成氣體之第二混合組成,該第一混合組成與該第二混合組成不同。
  18. 如申請專利範圍第17項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該第一混合組合具有較第二混合組合高的惰性氣體/反應氣體比。
  19. 如申請專利範圍第17項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該第一混合組合具有較第二混合組合低的惰性氣體/反應氣體比。
  20. 一種用於處理電漿處理系統的電漿處理室中之基板的方法,該電漿處理室具有至少一電漿產生源和用以提供一處理氣體進入該電漿處理室之內部區域的至少一氣體源,該方法包括:使具有一射頻頻率之射頻信號激化該電漿產生源;使該射頻信號藉由至少一第一源脈動頻率來脈動,以致該射頻信號之振幅、相位及頻率至少其中之一具有於該射頻第一源脈動頻率相關的射頻脈動期間之第一部分的第一值,以及於該射頻第一源脈動頻率相關的射頻脈動間之第二部分的第二值;及使用氣體脈動頻率來脈動該氣體源,以致在該氣體脈動頻率相關之氣體脈動期間之第一部分具有構成氣體第一混合組成之該處理氣體,在該氣體脈動頻率相關之氣體脈動期間之第二部分具有構成氣體第二混合組成之該 處理氣體被流入該電漿處理室。
  21. 如申請專利範圍第20項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該第一混合組合具有較該第二混合組合高的惰性氣體/反應氣體比。
  22. 如申請專利範圍第20項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該第一混合組合具有較該第二混合組合低的惰性氣體/反應氣體比。
  23. 如申請專利範圍第20項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該氣體源之脈動使用變動的負載循環。
  24. 如申請專利範圍第20項之用於處理電漿處理系統的電漿處理室中之基板的方法,其中該射頻信號之脈動使用變動的負載循環。
TW101142477A 2011-11-15 2012-11-14 混合式脈動電漿處理方法 TWI620831B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161560001P 2011-11-15 2011-11-15
US61/560,001 2011-11-15
US13/550,546 2012-07-16
US13/550,546 US20130119018A1 (en) 2011-11-15 2012-07-16 Hybrid pulsing plasma processing systems

Publications (2)

Publication Number Publication Date
TW201341575A true TW201341575A (zh) 2013-10-16
TWI620831B TWI620831B (zh) 2018-04-11

Family

ID=48279608

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101142477A TWI620831B (zh) 2011-11-15 2012-11-14 混合式脈動電漿處理方法

Country Status (7)

Country Link
US (1) US20130119018A1 (zh)
JP (1) JP6349257B2 (zh)
KR (1) KR102215308B1 (zh)
CN (2) CN103930596A (zh)
SG (1) SG11201401750SA (zh)
TW (1) TWI620831B (zh)
WO (1) WO2013072831A1 (zh)

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6170378B2 (ja) * 2013-08-29 2017-07-26 東京エレクトロン株式会社 エッチング方法
JP6138653B2 (ja) * 2013-10-08 2017-05-31 株式会社日立ハイテクノロジーズ ドライエッチング方法
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6316735B2 (ja) * 2014-12-04 2018-04-25 東京エレクトロン株式会社 プラズマエッチング方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6578145B2 (ja) * 2015-07-07 2019-09-18 東京エレクトロン株式会社 エッチング方法
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) * 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102321839B1 (ko) * 2016-05-09 2021-11-05 어플라이드 머티어리얼스, 인코포레이티드 트랜지스터의 소스/드레인 영역 상의 에피택셜 필름에 대한 선택적 식각 방법
JP6592400B2 (ja) * 2016-05-19 2019-10-16 東京エレクトロン株式会社 エッチング方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
TWI689989B (zh) * 2016-07-25 2020-04-01 日商東京威力科創股份有限公司 單層膜介導的精密材料蝕刻
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
KR20180045104A (ko) * 2016-10-24 2018-05-04 삼성전자주식회사 원자층 식각 방법 및 이를 포함하는 반도체 제조 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
CN110731005B (zh) * 2017-06-12 2023-07-28 东京毅力科创株式会社 用于在低k电介质蚀刻中减少反应离子蚀刻滞后的方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102626357B1 (ko) * 2017-12-07 2024-01-16 램 리써치 코포레이션 반도체 rf 플라즈마 프로세싱을 위한 펄싱 내 rf 펄싱
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11158517B2 (en) * 2019-01-18 2021-10-26 Tokyo Electron Limited Selective plasma etching of silicon oxide relative to silicon nitride by gas pulsing
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11651969B2 (en) * 2019-07-18 2023-05-16 Kioxia Corporation Etching method, semiconductor manufacturing apparatus, and method of manufacturing semiconductor device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7433095B2 (ja) * 2020-03-18 2024-02-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11322364B2 (en) * 2020-04-01 2022-05-03 Tokyo Electron Limited Method of patterning a metal film with improved sidewall roughness
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230260802A1 (en) * 2022-02-17 2023-08-17 Applied Materials, Inc. Highly selective silicon etching

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH07226397A (ja) * 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
US6043607A (en) * 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6203862B1 (en) 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
JP4819267B2 (ja) * 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
CN100462475C (zh) * 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US20060046412A1 (en) * 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US20050221021A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for performing atomic layer deposition
US7863179B2 (en) * 2006-10-31 2011-01-04 Lam Research Corporation Methods of fabricating a barrier layer with varying composition for copper metallization
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
CN100552883C (zh) * 2005-05-09 2009-10-21 应用材料股份有限公司 使用双频率射频源的等离子体产生与控制
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7922880B1 (en) * 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US8382999B2 (en) * 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8895115B2 (en) * 2010-11-09 2014-11-25 Southwest Research Institute Method for producing an ionized vapor deposition coating

Also Published As

Publication number Publication date
SG11201401750SA (en) 2014-09-26
CN107706077A (zh) 2018-02-16
US20130119018A1 (en) 2013-05-16
TWI620831B (zh) 2018-04-11
JP6349257B2 (ja) 2018-06-27
KR102215308B1 (ko) 2021-02-16
CN103930596A (zh) 2014-07-16
JP2015503223A (ja) 2015-01-29
KR20140096367A (ko) 2014-08-05
WO2013072831A1 (en) 2013-05-23

Similar Documents

Publication Publication Date Title
TWI620831B (zh) 混合式脈動電漿處理方法
JP6676094B2 (ja) プラズマ処理システムにおける不活性物優勢パルス化
US10121639B2 (en) Mixed mode pulsing etching in plasma processing systems
JP2015503223A5 (zh)
US9129902B2 (en) Continuous plasma ETCH process
CN110137069B (zh) 一种控制反应气体进入真空反应腔的方法
JP2016082180A (ja) プラズマ処理装置