TW201120236A - Point-of-use silylamine generation - Google Patents

Point-of-use silylamine generation Download PDF

Info

Publication number
TW201120236A
TW201120236A TW099134938A TW99134938A TW201120236A TW 201120236 A TW201120236 A TW 201120236A TW 099134938 A TW099134938 A TW 099134938A TW 99134938 A TW99134938 A TW 99134938A TW 201120236 A TW201120236 A TW 201120236A
Authority
TW
Taiwan
Prior art keywords
precursor
decane
tsa
substrate
plasma
Prior art date
Application number
TW099134938A
Other languages
English (en)
Inventor
Nicolay Y Kovarsky
Dmitry Lubomirsky
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201120236A publication Critical patent/TW201120236A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201120236 六、發明說明: 【交互參照之相關申請案】 * 本申請案主張受益於2009年10月21日提出申請之 - 61/253,719號的美國臨時專利申請案,其發明名稱 為”TSA AND DSA CENERATION AND PRODUCTION CONTROL”,其全文在此併入本文作為參考-〇---- 【發明所屬之技術領域】 本發明是關於含反應前驅物之系統的傳遞及生產。 【先前技術】 氮化矽及其他含矽及碳的膜以被用做阻擋層’並且提 供擴散、氧化、#刻與化學機械研磨的阻力°這些膜亦 能用於形成元件層上方的鈍化層°其高介電常數與密度 亦在涉及填充間隙的應用與形成閘極介電層與光學波導 件上提供許多優點。 沉積氮化矽與氮氧化石夕可涉及多種電漿類的化學氣相 沉積(cvd )技術,該等技術包括電漿辅助cvd(pecvd) . 以及高密度電漿CVD(HDP-CVD)。多數的技術涉及將基 材暴露至個別的矽源與氮源° 一般用於電漿類技術的矽 源包括矽烷(SiH4)以及二矽烷(SizH6),而一般的氮源包含 氨(NH3)或甚至氮(N2)°亦可在無電装的情況下產生這些 膜,例如使用低壓CVD(LPCVD)。在沒有電漿存在於沉 201120236 積系統中時’為了改善沉積速率,一般是使用商化矽燒 而非石夕烷。其他沉積技術可利用電漿以激發含氮或含氧 前驅物並且將所得的電漿流出物與未激發的含矽前驅物 結合’以形成可流動膜。 供給妙與氮二者的反應性前驅物是可得的,其亦能在 無一直—接n—激發前—驅物—的情—況工使膜生_這在反—應性 剛驅物包括三曱矽烷基胺柯81113)3)與二曱矽烷基·胺 (Ν^ΗΑΗ),對於生產及/或輸送而言,這兩種前驅物都是 叩貝的。故需要解決含有矽與氮二者之反應性前驅物的 成本、可得性以及安全性問題。這些需求與其他需求在 本發明中獲得解決。 【發明内容】 在此描述在使用處附近含有一種以上的矽烷胺之反應 前驅物的生產與傳遞。矽烷胺可包括三甲矽烷基胺 (tnsilylamine,TSA),但亦包括較不穩定的二曱矽烷基胺 (disilylamine,DSA)與單曱石夕院基胺(m〇n〇silylamine, MS A)。含有兩種以上的矽烷胺之混合物能夠隨時間改變 其組成(例如DSA對TSA的比例)。在靠近使用處生產 矽烷胺限制了組成物改變,減少不穩定氣體的處理,以 及減少消耗矽烷胺之製程的成本。 本發明的實施例包括在靠近使用處生成含矽烷胺前驅 物的方法該方法包括:在接近一基材處理區域處合成 5 201120236 該含矽烷胺前驅物;以及在該基材處理區域内反應該含 矽烷胺前驅物以形成一膜於一基材上。 部份額外實施例與特徵在隨後的說明書中提出,而部 份對於此技術領域中熟習技藝者而言在詳閱此說明書後 可易於瞭解’或者此技術領域中熟習技藝者可透過操作 篇一^_揭一露_6^_^_;^4歹4)_^象歹jj_ 與_特_徵_^|^ 在說明書中描述的設備、結合物與方法,可瞭解與獲得 所揭露的實施例之特徵與優點。 【實施方式】 在此描述在使用處附近含有一種以上的矽烷胺之反應 前驅物的生產與傳遞^矽烷胺可包括三曱矽烷基胺 (trisilylamine,TSA) ’ 亦包括二曱石夕烧基胺(disilylamine, DSA)與早甲石夕炫基胺(monosiiyiamine,msa)。含有兩種 以上的矽烷胺之混合物能夠隨時間改變其組成(例如 DSA對TSA的比例 > 在靠近使用處生產矽烷胺限制了 組成物改變,減少不穩定氣體的處理,以及減少消耗矽 烷胺之製程的成本。 為了較佳地瞭解及認識本發明,現在參考第1圖,其 為流程圖,繪示根據所揭露的實施例經選擇以供藉由使 用處生成的前驅物形成薄膜的操作(1()〇)。基材被傳送到 反應區域(操作1〇2),且氨氣與單曱矽烷基胺在靠近反 應區域處反應產生三甲矽烷基胺(TSA)前驅物(操作 201120236 104)。在本發明實施例中,產生TSA的反應發生於室溫 或低於室溫,並且在反應單元中產生氯化銨(NH4C1)副產 物。TS A前驅物可包括一些其他成份,該等成份包括二 甲矽烷基胺(DSA)。如DSA存在於TSA前驅物中,則其 濃度一般會稍微經過調整,因為經過一段時間DSA會轉 TSA前驅物可透過過瀘或離心從氣彳h鋩副连物 中分離.。在TSA前驅物產物生成後立刻可以使用tsA前 驅物’或者,TSA前驅物可儲存於收納槽中一段較長的 時間。另一方式是,TSA前驅物流入反應區域以形成梦 氮氫化合物(silicon-nitride-hydride)膜於基材上(操作 108)。基材隨後從反應區域移出(操作n〇)。 介於生成與反應TSA前驅物之間的時間歷程是可變 的’因此操作102及104的次序具可選擇性。在一些實 施例中,操作102在操作1 04之前,而在其他實施例中, 操作104在操作102之前。 TSA前驅物可基於單甲矽烷胺與氨氣的反應形成,如 下列的化學反應式: 3SiH3Cl + 4NH3 — (SiH3)3N + 3NH4CI (s)
此示範性反應可在氣相及/或液相中於一寬廣的溫度 範圍(從約-80 C至約室溫)中進行。反應單元是一種 用於谷置合成TS A前驅物的反應之隔室。在本發明的實 施例中’分開的氣體收納槽可用於在合成後以及TSA前 驅物傳遞到基材處理區域前接收與收納TSA前驅物。或 者,收納槽與反應單元可為同一個,換句話說,合成TSA 201120236 前驅物可發生在用於從NH3C1/寡分子分離TSA前驅物後 但將TSA前驅物傳遞至基材處理區域前容納TSA前驅物 的相同槽中。TSA亦可從NH3C1/寡分子分離,隨後冷凝 至液體收納容器以從其他氣體(例如NH3 )分離TSA。 透過確保反應劑及反應單元是純的且乾燥的(基本上 _無_冰—含XJJSA_边產―率—可增_加_約_ 8_0 %_,„水1—存—在_可—能_分„ 解矽烷與矽烷基。合成反應形成固態氣化銨、TS Α以及 一些其他產物(例如低揮發率的寡分子[-SiH2-NH-]n以及二 曱矽烷基胺(即(SiH3)2NH或DSA)。DSA比TSA不穩定且 會透過釋放NH3而在時間上轉化成TSA : 3(SiH3)2NH 一 2(SiH3)3N + 職
一些實施例中,(SiH2NH)n形式的寡分子亦可透過分解 DSA前驅物而產生。在合成TSA期間產生寡分子一般是 不受期望的,因為他們的產生消耗一部分的SiH3Cl供給 但產生矽烷氣體(SiH4 )而非如TS A或DS A的矽烷胺; n(SiH3)2NH — 1/n [SiH2NH]n + nSiHU 透過在化學當量的SiH3Cl-NH3氣體混合物中使SiH3Cl 稍微過量(2-5%),能夠減少(或甚至實質上消除)合成 TSA期間非期望的寡分子產生。在相對低溫(如介於-60 °C至-20°(:之間)及/或相對低壓(1-100 Torr)執行TSA 前驅物的合成亦可減少寡分子的形成。在本發明之實施 例中,最後,添加惰氣(Ar、N2、He、H2)於反應容器 中或使用有機溶劑(曱笨、TGF等)亦能減少寡分子形 成。這些技術可單獨使用或結合任何數目的其他技術使 201120236 用,以進一步減少寡分子形成。 對於、、勺3,4 (例如’(3 〇5_3]):4)的洲似:4·〗體積 比率而吕,可將稍微過量的SiHsCl用於反應中,且基本 上只有一種含矽產物會產生,即TSA。減少體積比率到 低於3:4,會以過剩的氨氣進行反應,而會生成少量 AMSA-、-SiHd Si-N-H寡分子。隨後可透過過渡或 他方式將NH4CI及募分子粒子分離,以產生主要含TSA (例如>8〇%)及其他氣體(NH3、DSA、MSA)的氣體 混合物。透過將TS A與其他氣體傳遞到基材處理區域, 而能夠直接使用之。改變進入合成反應單元的siH3Cl對 ΝΑ的比率使得能夠選擇最終氣體成份(例如,可選擇 DSA/MSA比率)。在本發明一些實施例中,dsa與msa 在合成產物中的量可為約幾個百分比以下。甚至這些微 小的量大得足以影響且因而改善Si_N_H CVD膜的可流 動性與性質的控制。 透過添加雙鹵素矽烷(較佳為至反應單元(其 含有Sih3ci與nh3 )或透過使用siH2C】2而非SiH3Ci, 亦可能增加氣體產物中DSA的量。在反應單元中siH2Ci2 與NH;的合成反應所需的條件可有別於SiH3ci與 的合成反應。Sil^Ch與NH3的反應可透過存在催化劑及 /或更尚的反應溫度而獲得助益。 形成氣態TSA前驅物之後,將組合物通過適合的過遽 器或在離心機中處理組合物,而可從固態NH4Cl沉積物 中分離氣It。在本發”實施财,謂後透過低溫冷 201120236 凝蒸餾技術由氣體混合物中萃取TSA。沸點差異、熔點 差異及/或氣體成份的蒸氣壓差異有助於萃取製程。ts A 在真空及低溫(例如介於_丨〇〇。c至_78。c之間)下易於 凝結。接近TSA的熔點(_1〇5<»c)處的分壓是低的(〇 〇1 T〇rr左右)並且該分壓助於使TSA與其他更揮發性的成 一份分離_。·其他..成-份_(贿3、siH4、SiH3C1)殘留在氣相, 並且由系統排出為佳。例如,NH3具有-77。C的熔點,且 在大約-loo。c的處理溫度不,其蒸氣壓超過TSA的蒸氣 壓約300倍。在本發明的實施例中可能需要從TSA完全 分離NH3 ’因為在一些用於處理基材的CVD製程中,Μ% 會與TSA結合。在該等cvd製程中,TSA中微小的NH3 含量(1-5% )是可容忍的,特別是在tsa前驅物於消耗 之前迅速合成時。 從其他氣體分離TSA在封閉系統中較容易,該系統 中’ TSA的分壓可增加到2至2〇 T〇rr之間。在至 -30°C之間,矽烷、氨氣以及一氣甲矽烷存在於氣相中, 使TSA得以凝結與分離。氣態SiH3C1與Nh3轉化成液 態TSA,相較於最初的氣態體積,液態tSa佔據非常小 的體積。這能使得大量的液態TSA產物累積而不致大幅 減少可用於透過氣相反應方式額外合成的體積。對體積 的影響減少使得能夠透過維持反應器中相對一致的化學 當量及壓力而控制反應進行。 如先前所暗示’一氯甲矽烷並非唯一能與氨氣結合而 產生TSA前驅物的前驅物。更一般而言,tsa前驅物可 10 201120236 基於氨氣與諸如單鹵化矽烷之類的函化矽烷(例如一氯 甲矽烷SiH3CM、一溴甲矽烷SiH3Br、一碘甲石夕院SiH3l ) 之間的反應形成。鹵化矽烷較佳為SiHsCl。在本發明的 一些實施例中,齒化矽烷可為二_化矽烷,諸如二氯妙 烧SiH2Cl2、二溴矽烧SiH2Br2與二蛾石夕统siH2I2。二齒 化矽烷不會直接產生TS A,但能夠取代或增加單齒化甲 矽烷的流量’以增加DSA及/或MSA的產率。卣化石夕院 的成本助於決定合成反應中要包括何種前驅物才能產生 TSA前驅物。成本可能改變且因而較佳的鹵化碎院可用 於合成TSA前驅物。當在各鹵化矽烷中切換或切換至新 的鹵化矽烷混合物時,可能需要調整製程參數。大範圍 的製程參數(包括壓力、溫度、反應劑種類與濃度、反 應劑比率、流率、催化劑等)可用於獲得期望量值與純 度的TSA。 合成反應被主要描述成生產TSA前驅物。更一般而 5 ’反應則驅物的合成包含TSA、與二曱發院基胺 ((SiHbhNH)(即DSA)及單甲矽烷基胺((SiH3)NH2)(即 MSA)之至少一者’且在此是指含石夕院胺的前驅物。合 成含矽烧胺前驅物發生在靠近使用處,且可發生在使用 處的一公尺或十公尺以内。一些實施例中,至少一些合 成發生在這些距離内,而在另一些實施例中,完整合成 (即轉化成含矽烷胺前驅物)發生在這些距離内。 根據在此揭露的方法處理的基材可具有半導體材料, 且可例如為石夕晶圓。基材可相對地具有溝槽,該等溝槽 201120236 由使用合成的含矽烷胺前驅物(其形成於靠近使用處) 所形成的可流動膜填充。該等溝槽的高度與寬度可界定 高度對寬度(即Η/W)的深寬比(AR)為遠大於1:1 (例 如5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、 10:1以上、11:1以上、12:1以上等)。在許多範例中, 高的AR是由於小的間隙寬度,該寬度少於65 nm、45 nm、35 nm、25 nm、20 nm、或15 nm。額外的製程參數 與操作將於描述示範性基材處理系統的章節中介紹,該 系統是利用靠近處理系統(即使用處)的矽烷胺前驅物。 示範性矽氧化物沉積系統 排除其他種類的腔室之外,可實施本發明之實施例的 沉積腔室可包括高密度電漿化學氣相沉積(HDP-CVD)腔 室、電漿辅助化學氣相(PECVD)腔室、次大氣壓化學氣 相沉積(SACVD)腔室以及熱化學氣相沉積腔室。可實施 本發明之實施例的CVD系統的特殊範例包括CENTURA ULTIMA® HDP-CVD 腔室/系統及 PRODUCER® PECVD 腔室/系統,其可購自美國加州Santa Clara的應用材料公
I 司0 可使用本發明之示範方法的基材處理腔室的範例可包 括顯示於及描述於共同讓渡給Lubomirsky等人的美國臨 時專利申請案60/803,499中的該等腔室,該案於2006 年5月30日提出申請,且標題為” PROCESS CHAMBER FOR DIELECTRIC GAPFILL”,其全文在此併入作為參 12 201120236 考額外的不範性系统彳包括顯示於及描述於美國專利 號6,387,2G7與6,㈣,624中㈣等系統,其全文亦在此 併入作為參考。 >儿積系統的實施例可併入用於生產積體電路晶片的較 大!製w系統中。第2圖顯示一個根據所揭露的實施例 匕積烘烤及固化腔室的此類系統200。在該圖中, 對FOUP(前開式晶圓盒)2〇2供給基材(例如直徑3㈧ 麵的日日圓),該等基材是由自動機械臂204接收並且在 放進晶圓處理腔室施a_f中的—個腔室之前,放進低壓 固持區域206。第二自動機械臂210可用於將基材晶圓 從固持區域206傳輸到處理腔室道a_f以及反向傳輸。 腔至208 a-f可包括用於沉積、退火、固化及/或姓 刻基材晶圓上的可流動介電膜的—個或多個系統部件。 在一組態中’兩對處理腔室(例如2〇8c_d及2〇8e_f)可 :於沉積可流動的介面材料於基材上,而第三對處理腔 室(例如208a-b)可用於退火該沉積的介電質。在另一 <、中相同的兩對處理腔室(例如208c-d及208e-f) β、·Λ裝以/儿積及退火基材上的可流動介電膜,同時第 二對腔室(例mb)可用⑥υν或電子束固化沉積 的< 膜在又一組態中,所有三對腔室(例⑹2斷_f)可 經裝設以沉積及固化基材上的可流動介電膜。在尚一組 態中,兩對處理腔室(例如2〇8c_d與2〇8e_f)可用於沉 積及UV或電子束固化可流動介電質,同時第三對處理 腔室(例如208a-b)可用於退火介電膜。任何一個或多 13 签. 201120236 個所述製程可在與製造系統分離的腔室中執行,如不同 貫施例所示。 此外’該等製程腔室208a_f的一者或多者可裝設成溼 式處理腔室。該等製程腔室包括在含有濕氣的大氣中加 熱該可流動介電膜。因此,系統200的實施例可包括渥 式處理腔室208a-b以及退火處理腔室208c-d,以在沉積 的介電膜上執行溼式與乾式退火。 第3A圖是根據所揭露的實施例之基材處理腔室3〇〇。 遠端電漿系統(RPS)300可處理氣體,隨後該氣體行進通 過氣體入口組件3 11。在氣體入口組件3 11中可見兩個個 別的氣體供給通道。第一通道312搭載通過遠端電漿系 統RPS3 10的氣體’而第二通道313繞過RPS3 10。在所 揭露的實施例中,第一通道312可用於製程氣體,而第 二通道313可用於處理氣體。蓋(或導電的頂部部份) 321以及穿孔隔件353之間呈現一絕緣環324,使得aC 電位得以相對於穿孔隔件353施加到蓋321。製程氣體 行進穿過第一通道312進入腔室電漿區域32〇,且可單 獨在腔室電漿區域320中(或者與RpS31〇結合)的電 榮裡受到激發。單獨區域或腔室電漿區域320與rp § 3 1 0 的結合可指此述的遠端電漿系統。穿孔隔件(亦指喷頭) 353將喷頭353下方的基材處理區域37〇與腔室電漿區 域320分隔。喷頭353使電漿得以存在於腔室電漿區域 320中,以避免直接激發基材處理區域37〇中的氣體, 同時依然使激發的物料得以從腔室電漿區域32〇行進至 201120236 基材處理區域370。 喷頭353定位在腔室電漿區域320與基材處理區域37〇 之間’且使電漿流出物(前驅物或其他氣體的受激發的 衍生物)在腔室電漿區域320中生成,以通過複數個橫 切板厚的通孔356。喷頭353亦具有一個以上的中空空 間35 1,該空間可被蒸氣或氣態形式的前驅物(諸如含 石夕烧胺的前驅物)填滿’並且通過小孔洞355進入基材 處理區域370但不直接進入腔室電漿區域32〇β在此揭 露的實施例中,噴頭353比通孔356的最小直徑350區 段的長度還厚。為了維持受從腔室電漿區域32〇穿透至 基材處理區域3 70的受激發物料具高濃度,可透過形成 穿過喷頭353的通孔356之較大的直徑部份,而限制通 孔最小直徑350區段的長度326 〇在所揭露的實施例中, 通孔356的最小直徑350區段之長度可與通孔356最小 直徑相同數量級,或者為較小的數量級。 在所示的實施例中’一旦製程氣體受到腔室電漿區域 320中的電漿激發,噴頭353可(透過通孔356)分配製 程氣體,該等製程氣體含有氧、氫、及/或氮,及/或此類 製程氣體的電漿流出物。在實施例中,Rps31〇中或腔室 電漿區域320中激發的製程氣體包括氨氣(Nh3)與氮氣 (N2)及/或氫氣(HQ。一般而言,通過第一通道312而導 入RPS310及/或腔室電漿區域32〇製程氣體可含有氧 (〇2)、臭氧(〇3)、n2〇、no、no2、NH3、包括 N2H4 的 NxHy、矽烷、二矽烷、TSA及DSA之一者或多者。製程
15 S 201120236 氣體亦可包括諸如氦氣、畜 虱氣、氮氣(n2)等之類的栽氣。 第一通道313亦可傳遞製程氣 風體及/或載氣,及/或膜固化 :’該膜固化氣體用於從生長中的膜或沉積的膜中移 除非期望的成份。電漿流出物可包括製程氣體的離子化 或中性㈣生物’且在此亦可指是自由基氧前驅物及/或 自由,氮前驅物,其指所導人的製程氣體之原子的組分。 在貝施例中,通孔356的數量可介於約6〇個至約2〇〇〇 個之間。通孔356可具有多種形狀,但大多數是做成圓 形。在所揭露的實施例中,通孔356的最小直徑⑽可 介於約0.5 mm至約20 mm之間,或介於約lmm至約6 mm之間◊在選擇通孔的截面形狀上,亦有範圍,截面可 做成錐形、圓柱形或該二種形狀的組合◊不同實施例中, 用於將氣體導進處理區域37〇的小孔洞355數目可介於 約100至約5000之間,或介於約5〇〇至約2〇〇〇之間。 小孔洞3 5 5的直徑可介於約0」mm至約2 mm之間。 第3B圖是根據所揭露的實施例與處理腔室一併使用 的喷頭353之底視圖。噴頭353對應第3A圖中所示的喷 頭。通孔356被繪成在噴頭353底部處具有較大的内徑 (ID) ’而在頂部處具有較小的id β小孔洞355實質上在 噴頭表面上均勻分佈,甚至分佈在通孔356之間,相較 於此述的其他實施例’其助於提供更均句的混合。 當透過喷頭353中的通孔356而抵達的電漿流出物結 合源於中空空間35 1且透過小孔洞355抵達的含矽院胺 月1J驅物時’在基材處理區域370内示範性的膜生成於基
16 S 201120236 材上,而該基材是受底座(圖中未示)所支撐。雖然將 基材處理區域370裝設成支援供諸如固化之類的其他製 程所用的電漿’然而在生長示範性膜的期間’無電漿存 在。 在利用腔至電漿區域的實施例中,自由基氮前驅物在 與基材處理區域分隔的基材處理系統的區段中生成,在 該處,前驅物混合並且反應,以沉積矽及氮層於沉積基 材上(例如半導體晶圓)。自由基氮前驅物亦可伴隨載 氣,諸如氦氣、氬氣等。在此可將基材處理區域描述為 在生長含矽及氮層期間與低溫臭氧固化期間為「無電 楽·」°「無電漿」並非必要性地欲指該區域缺乏電漿。本 發明一些實施例中,在電漿區域内生成的離子化物料確 實行進通過隔件(噴頭)中的孔洞(貫孔),但含石夕院胺 刖驅物並不實質上被施加至電浆區域的電漿功率所激 發。腔室電漿區域中電漿的邊界是難以界定的,且可能 透過喷頭中的貫孔侵入基材處理區域上。在誘導耦合電 漿(ICP)的實例中’可直接在基材處理區域内執行少量的 離子化。再者,低強度的電漿可在基材處理區域中生成, 而不至於消滅形成的膜的可流動性質。基材處理區域中 的電漿的離子密度遠比腔室電漿區域在生成自由基氮前 驅物期間的電漿密度低,然該基材處理區域中的該等電 漿不背離在此所用的「無電漿J之範疇。 在基材處理區域中,含矽烷胺前驅物以及自由基I前 驅物混合並且反應以形成含矽及氮膜於沉積基材上(操 •»r. 17 201120236 作108:^沉積的含矽及氮膜可符合配方組合(該配方組 合造成沉積表面處的低沉積速率或高自由基氮流量)沉 • 積。在其他實施例中,不像習知的氮化矽(Si3N4)膜沉積 技術’所沉積的含碎及It膜具有可流動的特徵《形成的 可流動特質使得膜得以流進基材沉積表面上的狹窄的間 隙溝槽以及其他結構。基材在沉積(操作1 〇8 )期間的 溫度在不同實施例中少於120°C,少於10(rc,少於80 °C以及少於60°C。 可流動性可歸因於將自由基氮前驅物與未激發的含梦 烷胺前驅物混合所造成的多種性質。該等類似液體的性 質可包括沉積膜中的大量氫成份,及/或存在短鍊的線性 及/或具支鍊的聚石夕氮院(p〇lySUazane)聚合物。更高的線 性鍊對支鍊比率降低聚矽氮烷膜的黏度並且減緩膜的固 化。TSA傾向形成支鍊,而DSa傾向形成線性鍊。這些 短鍊生長並且交聯,所以類似流體的膜在形成膜的期間 或形成膜之後轉變成更緻密的介電材料。例如,沉積的 膜可具有矽氮烷形式的Si-NH-Si骨架(即Si_N_H膜)。 當含矽前驅物與自由基氮前驅物無碳時,所沉積的含矽 及氮膜亦實質上沒有碳。石炭的缺乏減少在後續處理步驟 , (例如固化與退火)中的縐縮。當然,「無碳」並非意味 - 膜缺少痕量的碳。碳雜質可存在於前驅物材料中,而找 到進入沉積的石夕錢前驅物的方法。㉟些碳_質的量無 論如何遠低於在具有碳基團的含矽前驅物(例如、 TMDSO等)中所包含者。 18 201120236 述的方法可包括形成可流動膜於包含間隙的基材 土材可具有複數個間隙以供間隔之用.,及用於形成 在广材上的疋件部件(例如電晶幻結構。間隙的高度 :、寬度可界疋向度對寬度(即H/w)的深寬比(AR),其 為遠大於1:1 (例如5:1以上、6:1以上、7:1以上、8:1 X上9.1以上、10:1以上、11:1以上、12:1以上等)。 在許夕範例中,咼的AR是由於小的間隙寬度,該寬度 在約90 nm至約22 nm (或以下)的範圍,例如約⑽ 以下 65 nm以下、45 nm以下 、32 nm以下
下、22 nm以下、16 nm以下等。 電漿既可在喷頭353上方的腔室電漿區域32〇中點 燃,亦可在喷頭353下方的基材處理區域37〇點燃。電 漿存在於腔室電漿區域320中,以從含氮及氫之氣體的 流入中產生自由基氮前驅物。於處理腔室之導電頂部部 伤321及噴頭353之間施加一般在射頻(RF)範圍的 電壓’以在沉積期間於腔室電漿區域32〇中點燃電渡。 RF功率供應器產生13.56 MHz的高RF頻率,但亦可產 生其他單獨的頻率或者與13.56 MHz頻率結合的頻率。 當開啟基材處理區域370中的底部電漿以固化膜或清 潔接壤基材處理區域370的内部表面時,頂部電漿可處 於低功率或無功率。透過在噴頭353及底座(或腔室底 部)之間施加AC電壓,而點燃基材處理區域37〇中的 電漿。清潔氣體可在電漿存在時導入基材處理區域3 7〇。 底座可具有熱交換通道,熱交換流體流過其中以控制
S 19 201120236 基材溫度。此組態使基材溫度得以冷卻或加熱,以維持 相對低的溫度(從室溫直到約12〇r )。熱交換流體可包 含乙二醇與水。底座的晶圓支撐鍛坯(較佳為鋁、陶瓷 或其組合)亦可以電阻式加熱以達成相對高的溫度(從 約120 C直到約11 〇〇艺),此加熱是透過使用嵌入式單迴 圈加熱器元件達成,該元件設置成平行的同心圓形式的 兩個完整迴轉結構。加熱器元件的外部可繞於鄰接支撐 鍛坯的周邊處’同時内部繞於具有較小半徑的同心圓的 路徑上。至加熱器元件的配線通過底座的心柱。 基材處理系統是由系統控制器控制。在一示範性實施 例中’系統控制ϋ包括硬碟機、軟碟機及處理器。處理 器含有單板電腦(SBC)、類比數位輸入/輸出板、介面板 及步進馬達控制板。CVD系、統的各部件符合心⑽ M〇dular European(VME)標帛,該標準界定電路板、介面 卡插件箱(card cage)以及連結器規格與類型。vme標準 亦界定匯流排結構為具有16位元資料匯流排或24位元 位址匯流排。 —系統控制器控制所有CVD機器的活動。系統控制器執 行系統控制軟體,該軟體以電腦程式之形式儲存在電腦 °只媒體上’該等媒體較佳為硬碟,但亦可使用其他種 類的記憶體。電腦程式包括數組指令,其指示時間、氣 體混合、腔室壓力、腔室溫度、灯功率層級、感受器位 置及其他特殊製程參數。其他健存在其他記憶體元件(例 如軟碟或其他適合的驅動器)的電腦程式亦可用於指示
20 S 201120236 系統控制器。 可使用由系統控制器執行的電腦程式實施用於在基材 上沉積膜堆疊的製程或者用於清潔腔室的製程。電腦程 式編碼可以習知電腦可讀的程式語言撰寫,例如68000 組§f、C、C++、pasca卜F〇rtran或其他者。使用習知的 文件編輯器將適合的程式編碼編入單一檔案或多重檔 案,並且儲存於電腦可使用媒體(如電腦的記憶體系統) 或由該媒體實施。倘若編入的編碼内文是高階語言,則 編澤編碼,而所得的編譯編碼隨後與預先編譯的 Mic_ft Wind〇ws⑧函式庫常式之目的碼連結。為了執 行該連結、編譯的目的碼,系統使用者援用該目的碼, 使電腦系統載人記憶體中的編碼。CPU隨後讀取並且執 行該編碼,以操作程式中辨識的任務。 。使用者與控制器之間的介面可透過平板接觸感應顯示 器。在較佳實施例中,佶田 甲使用兩個顯示器,一個安裝在清 潔室壁以供操作者委 „ 吏用另—個在壁後以供維修技術人 貝使用。兩個顯示器可同- N-顯不相同資訊,該實例中, -人僅有一個接受輸入。 極你土 為了選擇特殊的螢幕或功能, 的心疋的區域。接觸區域改變 /、強調色彩,或呈現新 ^^^ 町、早或螢幕,以確認操作者和 觸感應顯示器之間的溝通。 或去θ 不使用接觸感應顯示器, 一飞考疋除了接觸感應顯示 如鐽般、 丁器之外,可使用其他裝置,例 統控制器溝1 切通①件,以讓使用者與系
21 S 201120236 在此所使用的「基材」可為具有(或不具有)形成於 其上的膜層之支撐基材。該支撐基材可為有各種摻雜濃 度及摻雜輪廓的絕緣體或半導體,可例如為用在積體電 路製造上的類型的半導體基材「石夕氧化物」之層可包括 其他元素組份(諸如氮、氫及碳等)的次要濃度。處於 「激發態」的氣體是敘述氣體其中至少有一些氣體分子 處於振動型式的激發、解離及/或離子化的狀態。氣體可 為兩種以上氣體的結合。全文中所用之溝槽(trench) 一詞 窀無暗思味地是指银刻過的地形具有大的水平深寬 比。由表面上方所視,溝槽可顯現圓形、卵形、多邊形、 矩形或各種其他形狀。「通孔」一詞是指低深寬比溝槽, 其可能或可能不被金屬填充而形成垂直的電連接。「前驅 物」一詞用於指任何參與反應以從表面移除材料或沉積 材料於表面上的製程氣體。 透過上述數個實施例的說明,該領域技術人士應知多 種修飾例、替代架構與等效例皆不脫本發明之精神。此 外,說明書中不對多種習知處理與元件做說明,以避免 不必要地混;t 了本發明。故,上述說明不應被視為對本 發明範疇之限制。 當提供數值範圍時,除非文字中另外清楚指8月,應知 亦同時揭露介於該範圍的上下限值之間各個區間值至下 限值單位的十分之一。亦涵蓋了所陳述數值或陳述範圍 中之區間值以及與陳述範圍中任何另一陳述數值或區間 值之間的每個較小範圍。這些較小範圍的上限值與下限 22 201120236 ::::包含或排除於該範圍中’且各範圍(不管是包 3'、中一個、包含兩個戋含 限值與下限值)皆涵 :於:發明内所陳述之範圍卜除非有特別排除之限 制。备所陳述之n圍包括極限值的其中—者或兩者,其 也涵蓋該虺排哈: —排除其中一者或兩者所含極限值的範圍。 說明書與如附申請專利範圍中所使用之單數形式「一」 與「該」等用語也包括複數形式,除非文字中另外清楚 扣月因此’舉例而言,「一種製程」所指的包括複數個 這類製程’而「該前驅物」所指的包括一或多種前驅物 以及該領域技術人士所熟知的其等效例。 同時,說明書與下述申請專利範圍中「包括」、「包含」、 「含有」、「含」以及「具有」等用語是指存在所陳述之 特徵、組件、構件或步驟,但其並不排除存在或增加一 或多種其他特徵、物體、構件、步驟、動作或群組。 【圖式簡單說明】 透過參考說明書之其餘部份及圖式,可進一步瞭解所 揭露之實施例的本質與優點,在該等附圖中,相似的邹 件可具有相同的元件符號。在一些範例中,次元件符銳 與元件符號相關連,並且置於破折號之後以標注多個類 似的部件之一。倘若在說明書中僅用一元件符號,而無 詳述現存的次元件符號,則該描述欲指所有此類多個類 似的部件。 23 201120236 第1圖是流程圖,其料根據所揭露的實施例,經選 擇以供藉使用處生成的前驅物形成薄膜的操作。 第2圖顯示根據本發明之實施例之基材處理系統。 第3A圖顯示根據本發明之實施例之基材處理腔室。 第3B圖顯示根據本發明之實施例之基材處理腔室的 喷頭。 【主要元件符號說明】 100-110處理步驟 200處理系統 202前開式晶圓盒 204、210自動機械臂 206低壓固持區域 208處理腔室 300基材處理腔室 310遠端電漿系統 3 11氣體入口組件 312、313 通道 320腔室電漿區域 321蓋 324絕緣環 326長度 350直徑 24 201120236 351中空空間 353穿孔隔件 3 5 5小孔洞 3 5 6通孔 370基材處理區域

Claims (1)

  1. 201120236 七、申請專利範圍: 1. 一種在靠近一使用處生成一含矽烷胺前驅物的方 法’該方法包含以下步驟: 在接近一基材處理區域處合成該含石夕炫胺前驅 物;以及 在該基材處理區域内反應該含石夕烧胺前驅物以 形成一膜於一基材上。 2. 如請求項第丨項所述之方法,其中該基材包含一半導 體材料。 3·如明求項第1項所述之方法,其中該基材包含一溝 槽’該溝槽實質上被該臈所填充。 4·如喷求項第1項所述之方法,其中該含矽烷胺前驅物 包含 TSA(trisilylamine)。 如請求項第1項所述之方法,其中該含矽烷胺前驅物 含 由 TSA 、 DS A(disilylamine)與 MSA(nu>n〇silylamine)所構成之前驅物群組中的至少 一種前驅物。 求項第1項所述之方法,其中該含矽烷胺前驅物 26 201120236 包含TSA與DSA二者。 7·如請求項第丨項所述之方法,其中該含矽烷胺前驅物 是在該基材處理區域的十公尺内合成。 8 • 請求項第1項所述之方法,其中該含矽烷胺前驅物 日 »_ 疋在該基材處理區域的一公尺内合成。 如叫求項第1項所述之方法,其中合成該含矽烷胺前 驅物之操作包含以下步驟: 將氨氣與一鹵化矽烷反應,以形成該含矽烷胺 月’J驅物中的該矽烷胺。 1 〇 ·如請求項第 1項所述之方法,其中該膜是含矽及氮層。 如請求項第 暫流動。 1項所述之方法,其中該膜在沉積後可短 項所述之方法’其中該含矽及氮層隨 12·如請求項第10項所 後轉化成矽氧化物。 13.如請求項第 曱硬境。 9項所述之方法’其中該齒化矽烷是一氯 27 201120236 14·如請求項 $第9項所述之方法,盆由 siH3Cl 7々,具中該鹵化矽烷是選自 3Br 及 8^31 的單函化(m〇n〇_hai〇genated) 梦统。 15. 如請求jg铃 第9項所述之方法,其中該自化矽烷是選自 S1H2CI2、s*口 d 1 2 r2、及 SiH2I2 的二函化(di-halogenated) 矽烷。 16. 如請求;Λ = 9弟9項所述之方法,其中該鹵化矽烷是包含 超過個石夕原子的—齒化聚石夕烧。 λ. 28
TW099134938A 2009-10-21 2010-10-13 Point-of-use silylamine generation TW201120236A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25371909P 2009-10-21 2009-10-21
US12/901,979 US20110136347A1 (en) 2009-10-21 2010-10-11 Point-of-use silylamine generation

Publications (1)

Publication Number Publication Date
TW201120236A true TW201120236A (en) 2011-06-16

Family

ID=43900892

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099134938A TW201120236A (en) 2009-10-21 2010-10-13 Point-of-use silylamine generation

Country Status (3)

Country Link
US (1) US20110136347A1 (zh)
TW (1) TW201120236A (zh)
WO (1) WO2011049811A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103974958A (zh) * 2011-12-16 2014-08-06 赢创工业集团股份有限公司 使用惰性溶剂由一氯甲硅烷和氨制备三甲硅烷基胺的方法
CN104520353A (zh) * 2012-08-10 2015-04-15 赢创工业集团股份有限公司 聚硅氮烷和三甲硅烷基胺的联合制备方法
TWI623491B (zh) * 2013-03-28 2018-05-11 焦吉斯克勞德氣液製程研究開發有限公司 用於凝結相製造三矽烷胺之裝置及方法

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8461367B2 (en) * 2010-01-15 2013-06-11 Shin-Etsu Chemical Co., Ltd. Preparation process of trisilylamine
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
DE102011075974A1 (de) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin in der Gasphase
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
DE102011078749A1 (de) 2011-07-06 2013-01-10 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin aus Monochlorsilan und Ammoniak
KR101432606B1 (ko) * 2011-07-15 2014-08-21 제일모직주식회사 갭필용 충전제, 이의 제조 방법 및 이를 사용한 반도체 캐패시터의 제조 방법
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9701540B2 (en) 2011-10-07 2017-07-11 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Apparatus and method for the condensed phase production of trisilylamine
US9446958B2 (en) 2011-10-07 2016-09-20 L'Air Liquide Societe Anonyme L'Etude Et L'Exploitation Des Procedes Georges Claude Apparatus and method for the condensed phase production of trisilylamine
WO2013052673A2 (en) * 2011-10-07 2013-04-11 Voltaix, Inc. Apparatus and method for the condensed phase production of trisilylamine
US9617155B2 (en) 2011-12-16 2017-04-11 Evonik Degussa Gmbh Production of trisilylamine from monochlorosilane and ammonia by use of inert solvent
US20130209343A1 (en) * 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
CN104271797B (zh) * 2012-03-09 2017-08-25 弗萨姆材料美国有限责任公司 显示器件的阻隔材料
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
DE102013209802A1 (de) * 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
US9284198B2 (en) 2013-06-28 2016-03-15 Air Products And Chemicals, Inc. Process for making trisilylamine
DE102014204785A1 (de) 2014-03-14 2015-09-17 Evonik Degussa Gmbh Verfahren zur Herstellung von reinem Trisilylamin
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108431013B (zh) * 2015-12-18 2021-03-16 美国陶氏有机硅公司 二硅烷基胺和聚硅烷基胺的合成
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
CN111295462A (zh) 2017-10-12 2020-06-16 盖列斯特科技股份有限公司 用于薄膜制造中源化学品的集成合成、递送和加工的方法和系统
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4910043A (en) * 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
KR930009549B1 (ko) * 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5393708A (en) * 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
TW445570B (en) * 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6180490B1 (en) * 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) * 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) * 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
KR100762573B1 (ko) * 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) * 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) * 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
JP4618178B2 (ja) * 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080014759A1 (en) * 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7737050B2 (en) * 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
KR100866143B1 (ko) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
WO2011017060A2 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Dual temperature heater
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG182333A1 (en) * 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) * 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103974958A (zh) * 2011-12-16 2014-08-06 赢创工业集团股份有限公司 使用惰性溶剂由一氯甲硅烷和氨制备三甲硅烷基胺的方法
CN103974958B (zh) * 2011-12-16 2016-12-14 赢创德固赛有限公司 使用惰性溶剂由一氯甲硅烷和氨制备三甲硅烷基胺的方法
CN104520353A (zh) * 2012-08-10 2015-04-15 赢创工业集团股份有限公司 聚硅氮烷和三甲硅烷基胺的联合制备方法
TWI623491B (zh) * 2013-03-28 2018-05-11 焦吉斯克勞德氣液製程研究開發有限公司 用於凝結相製造三矽烷胺之裝置及方法

Also Published As

Publication number Publication date
US20110136347A1 (en) 2011-06-09
WO2011049811A3 (en) 2011-07-14
WO2011049811A2 (en) 2011-04-28

Similar Documents

Publication Publication Date Title
TW201120236A (en) Point-of-use silylamine generation
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
TWI636987B (zh) 氮雜-多矽烷前驅物及包含氮雜-多矽烷前驅物的膜沉積方法
JP6929279B2 (ja) SiOおよびSiNを含む流動性膜を堆積させる方法
TWI672392B (zh) 用於沉積矽氮化物膜的組合物及含有其之容器
US8580699B2 (en) Embedded catalyst for atomic layer deposition of silicon oxide
CN104737275B (zh) 沉积无氟/碳保形钨的方法
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
US6821891B2 (en) Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification
US10699897B2 (en) Acetylide-based silicon precursors and their use as ALD/CVD precursors
CN111373072B (zh) 含硅薄膜蒸镀用组合物及利用其的含硅薄膜的制造方法
TW201118194A (en) Formation of silicon oxide using non-carbon flowable CVD processes
TW201131653A (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
TW201134975A (en) In-situ ozone cure for radical-component CVD
TW201213590A (en) Low temperature silicon carbide deposition process
TW201218315A (en) Air gap formation
TW201442148A (zh) 經控制之氣隙的形成
US20150162191A1 (en) Substituted Silacyclopropane Precursors And Their Use For The Deposition Of Silicon-Containing Films
TW201816166A (zh) 具碳,氧及氮成分控制之沉積SiCON的方法
WO2020154009A1 (en) Methods for depositing silicon nitride
CN114262878A (zh) 氧化硅沉积方法
KR20240050393A (ko) 규소 전구체
KR20210056847A (ko) 니오븀 질화물 박막의 형성 방법
Si I4 PCl I