SG11201406979VA - Device and method for aligning substrates - Google Patents

Device and method for aligning substrates

Info

Publication number
SG11201406979VA
SG11201406979VA SG11201406979VA SG11201406979VA SG11201406979VA SG 11201406979V A SG11201406979V A SG 11201406979VA SG 11201406979V A SG11201406979V A SG 11201406979VA SG 11201406979V A SG11201406979V A SG 11201406979VA SG 11201406979V A SG11201406979V A SG 11201406979VA
Authority
SG
Singapore
Prior art keywords
aligning substrates
aligning
substrates
Prior art date
Application number
SG11201406979VA
Other languages
English (en)
Inventor
Thomas Wagenleitner
Original Assignee
Ev Group E Thallner Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ev Group E Thallner Gmbh filed Critical Ev Group E Thallner Gmbh
Publication of SG11201406979VA publication Critical patent/SG11201406979VA/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2886Features relating to contacting the IC under test, e.g. probe heads; chucks
    • G01R31/2887Features relating to contacting the IC under test, e.g. probe heads; chucks involving moving the probe head or the IC under test; docking stations
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Length Measuring Devices By Optical Means (AREA)
SG11201406979VA 2013-12-06 2013-12-06 Device and method for aligning substrates SG11201406979VA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2013/075831 WO2015082020A1 (de) 2013-12-06 2013-12-06 Vorrichtung und verfahren zum ausrichten von substraten

Publications (1)

Publication Number Publication Date
SG11201406979VA true SG11201406979VA (en) 2015-07-30

Family

ID=49753165

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11201406979VA SG11201406979VA (en) 2013-12-06 2013-12-06 Device and method for aligning substrates

Country Status (8)

Country Link
US (1) US9851645B2 (ko)
EP (1) EP2893556B9 (ko)
JP (1) JP6042564B2 (ko)
KR (2) KR20150080449A (ko)
CN (1) CN105247670B (ko)
SG (1) SG11201406979VA (ko)
TW (1) TWI637458B (ko)
WO (1) WO2015082020A1 (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150155211A1 (en) * 2013-12-03 2015-06-04 Kulicke And Soffa Industries, Inc. Systems and methods for bonding semiconductor elements
EP3312871A1 (de) 2014-02-03 2018-04-25 EV Group E. Thallner GmbH Aufnahmeeinrichtung zur aufnahme eines substratstapels
EP3127141B1 (de) 2014-04-01 2021-03-24 EV Group E. Thallner GmbH Verfahren zur oberflächenreinigung von substraten
SG11201603148VA (en) 2014-12-18 2016-07-28 Ev Group E Thallner Gmbh Method for bonding substrates
DE102015108901A1 (de) 2015-06-05 2016-12-08 Ev Group E. Thallner Gmbh Verfahren zum Ausrichten von Substraten vor dem Bonden
CN118098939A (zh) 2016-03-22 2024-05-28 Ev 集团 E·索尔纳有限责任公司 用于衬底的接合的装置和方法
US10991609B2 (en) 2016-08-12 2021-04-27 Ev Group E. Thallner Gmbh Method and substrate holder for the controlled bonding of substrates
US10692747B2 (en) * 2016-08-29 2020-06-23 Ev Group E. Thallner Gmbh Method and device for alignment of substrates
US11764198B2 (en) 2017-03-02 2023-09-19 Ev Group E. Thallner Gmbh Method and device for bonding of chips
US10954122B2 (en) 2017-03-16 2021-03-23 Ev Group E. Thallner Gmbh Method for bonding of at least three substrates
DE102017105697A1 (de) 2017-03-16 2018-09-20 Ev Group E. Thallner Gmbh Verfahren und Vorrichtung zur Ausrichtung zweier optischer Teilsysteme
SG11201907720RA (en) 2017-03-20 2019-10-30 Ev Group E Thallner Gmbh Method for the alignment of two substrates
WO2019040273A1 (en) 2017-08-24 2019-02-28 Cerebras Systems Inc. APPARATUS AND METHOD FOR FIXING COMPONENTS OF AN INTEGRATED CIRCUIT
CN110168711B (zh) 2017-09-21 2024-02-13 Ev 集团 E·索尔纳有限责任公司 接合基板的装置和方法
TWI667728B (zh) * 2017-10-30 2019-08-01 Industrial Technology Research Institute 晶片接合裝置、晶片接合的方法以及晶片封裝結構
US10541162B2 (en) * 2017-11-28 2020-01-21 Taiwan Semiconductor Manfacturing Co., Ltd. Systems and methods for wafer pod calibration
KR102020236B1 (ko) * 2018-04-20 2019-09-10 세메스 주식회사 패널 합착 장치
US10636688B2 (en) * 2018-06-22 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for alignment, process tool and method for wafer-level alignment
US10957595B2 (en) 2018-10-16 2021-03-23 Cerebras Systems Inc. Systems and methods for precision fabrication of an orifice within an integrated circuit
US10953539B2 (en) * 2018-12-27 2021-03-23 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer robot and automatic teaching method
CN110246781B (zh) * 2019-05-29 2021-06-22 桂林立德智兴电子科技有限公司 一种半导体晶圆平坦化设备
JP7250641B2 (ja) 2019-08-06 2023-04-03 キオクシア株式会社 アライメント装置及び半導体装置の製造方法
CN114144868A (zh) 2019-08-23 2022-03-04 Ev 集团 E·索尔纳有限责任公司 用于使基板对准的方法和装置
US11145530B2 (en) * 2019-11-08 2021-10-12 Cerebras Systems Inc. System and method for alignment of an integrated circuit
WO2021115574A1 (de) 2019-12-10 2021-06-17 Ev Group E. Thallner Gmbh Verfahren und vorrichtung zur ausrichtung von substraten
JP7391733B2 (ja) * 2020-03-17 2023-12-05 キオクシア株式会社 半導体製造装置及び半導体装置の製造方法
DE102020126211A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co. Ltd. Photolithographie-Ausrichtungsprozess für gebondete Wafer
KR20220167376A (ko) 2020-06-29 2022-12-20 에베 그룹 에. 탈너 게엠베하 기판 접합 방법 및 장치
JP7130720B2 (ja) * 2020-11-25 2022-09-05 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 基板を位置合わせする方法および装置
US11845179B2 (en) * 2020-12-22 2023-12-19 Kawasaki Jukogyo Kabushiki Kaisha Wafer jig, robot system, communication method, and robot teaching method
KR102677190B1 (ko) * 2020-12-31 2024-06-20 주식회사 테스 기판접합장치 및 기판접합방법
CN115312438A (zh) 2022-03-21 2022-11-08 北京芯士联半导体科技有限公司 接合装置的推动销构造
JP2023183276A (ja) * 2022-06-15 2023-12-27 キオクシア株式会社 接合装置、接合方法、及び半導体装置の製造方法
WO2024046578A1 (de) 2022-09-02 2024-03-07 Ev Group E. Thallner Gmbh Vakuumsubstrathalter mit optimierter vakuumdichtung

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4573791A (en) * 1979-04-03 1986-03-04 Optimetrix Corporation Step-and-repeat projection alignment and exposure system
US4597664A (en) * 1980-02-29 1986-07-01 Optimetrix Corporation Step-and-repeat projection alignment and exposure system with auxiliary optical unit
US4810941A (en) * 1986-04-17 1989-03-07 Canon Kabushiki Kaisha Control system for a servomotor
JP3061150B2 (ja) 1991-05-16 2000-07-10 三井・デュポンポリケミカル株式会社 水性分散体組成物及びそれより形成される被膜ならびにその製法
JP2577140B2 (ja) 1991-05-27 1997-01-29 日立テクノエンジニアリング株式会社 基板の位置合わせ装置
US5639323A (en) * 1995-02-17 1997-06-17 Aiwa Research And Development, Inc. Method for aligning miniature device components
US6048750A (en) * 1997-11-24 2000-04-11 Micron Technology, Inc. Method for aligning and connecting semiconductor components to substrates
AT405775B (de) 1998-01-13 1999-11-25 Thallner Erich Verfahren und vorrichtung zum ausgerichteten zusammenführen von scheibenförmigen halbleitersubstraten
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
AU2004240944A1 (en) * 2003-05-20 2004-12-02 Fluidigm Corporation Method and system for microfluidic device and imaging thereof
JP4626160B2 (ja) * 2004-03-04 2011-02-02 株式会社ニコン ウェハ重ね合わせ方法及びウェハ重ね合わせ装置
US7442476B2 (en) 2004-12-27 2008-10-28 Asml Netherlands B.V. Method and system for 3D alignment in wafer scale integration
US7433038B2 (en) 2006-04-27 2008-10-07 Asml Netherlands B.V. Alignment of substrates for bonding
KR101236043B1 (ko) * 2006-07-14 2013-02-21 가부시키가이샤 니콘 스테이지 장치, 노광 장치 및 디바이스 제조 방법
WO2008038788A1 (fr) 2006-09-29 2008-04-03 Tokyo Electron Limited Procédé de formation d'un film d'oxyde de silicium, appareil de traitement au plasma et support de stockage
US20080083818A1 (en) * 2006-10-06 2008-04-10 Asml Netherlands B.V. Measuring the bonding of bonded substrates
CN103258762B (zh) * 2007-08-10 2016-08-03 株式会社尼康 基板贴合装置及基板贴合方法
TWI478272B (zh) 2007-08-15 2015-03-21 尼康股份有限公司 A positioning device, a bonding device, a laminated substrate manufacturing device, an exposure device, and a positioning method
US20090123874A1 (en) * 2007-11-14 2009-05-14 Tadashi Nagayama Exposure method, exposure apparatus, and method for manufacturing device
WO2010023935A1 (ja) * 2008-08-29 2010-03-04 株式会社ニコン 基板位置合わせ装置、基板位置合わせ方法および積層型半導体の製造方法
US8148646B2 (en) * 2008-09-29 2012-04-03 Formfactor, Inc. Process of positioning groups of contact structures
WO2010038454A1 (ja) 2008-10-01 2010-04-08 株式会社ニコン アラインメント装置およびアラインメント方法
DE102009018977A1 (de) * 2009-04-25 2010-11-04 Ev Group Gmbh Vorrichtung zur Ausrichtung und Vorfixierung eines Wafers
EP3731258A1 (de) 2009-09-22 2020-10-28 EV Group E. Thallner GmbH Vorrichtung zum ausrichten zweier substrate
JP5565792B2 (ja) * 2009-11-02 2014-08-06 ボンドテック株式会社 アライメント装置
JP5628549B2 (ja) * 2010-04-27 2014-11-19 芝浦メカトロニクス株式会社 基板貼合装置
JP5889581B2 (ja) * 2010-09-13 2016-03-22 東京エレクトロン株式会社 ウエハ検査装置
EP2463892B1 (de) 2010-12-13 2013-04-03 EV Group E. Thallner GmbH Einrichtung, Vorrichtung und Verfahren zur Ermittlung von Ausrichtungsfehlern
JP5139604B1 (ja) * 2011-11-25 2013-02-06 信越エンジニアリング株式会社 基板搬送装置及び基板組み立てライン
CN103246170B (zh) * 2012-02-09 2015-07-08 中芯国际集成电路制造(上海)有限公司 曝光装置及曝光方法
JP6502846B2 (ja) * 2012-06-06 2019-04-17 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 位置合わせ誤差を求めるための装置と方法
US9772564B2 (en) * 2012-11-12 2017-09-26 Nikon Corporation Exposure apparatus and exposure method, and device manufacturing method
JP6294686B2 (ja) * 2014-02-04 2018-03-14 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法

Also Published As

Publication number Publication date
US9851645B2 (en) 2017-12-26
KR20160101202A (ko) 2016-08-24
JP6042564B2 (ja) 2016-12-14
CN105247670A (zh) 2016-01-13
TW201523782A (zh) 2015-06-16
EP2893556B9 (de) 2018-09-12
JP2016503589A (ja) 2016-02-04
WO2015082020A1 (de) 2015-06-11
KR20150080449A (ko) 2015-07-09
TWI637458B (zh) 2018-10-01
US20160240420A1 (en) 2016-08-18
EP2893556A1 (de) 2015-07-15
EP2893556B1 (de) 2018-01-10
CN105247670B (zh) 2018-06-12
KR101741384B1 (ko) 2017-05-29

Similar Documents

Publication Publication Date Title
SG11201406979VA (en) Device and method for aligning substrates
SG2014014054A (en) Device and Method for Alignment of substrates
EP3089466A4 (en) METHOD AND DEVICE FOR INTERACTING ON THE SAME SCREEN
PL2860516T3 (pl) Urządzenie i sposób do sprawdzenia budowy podłoży mocowanych przylepnie
SG11201601124YA (en) Methods and systems for aligning sequences
EP2966673A4 (en) SUBSTRATE PROCESSING DEVICE AND SUBSTRATE PROCESSING METHOD
SG11201602220TA (en) Substrate treatment method and substrate treatment device
EP3015182A4 (en) DEVICE AND METHOD FOR PRODUCING A THIN-SUBSTRATED SUBSTRATE
EP2980833A4 (en) SUBSTRATE PROCESSING DEVICE AND SUBSTRATE PROCESSING METHOD
EP2965553A4 (en) METHOD AND APPARATUS FOR MULTI-SIM DEVICES WITH INCORPORATED SIM FUNCTIONALITY
HK1203711A1 (en) Method and device for establishing a connection
EP2952829A4 (en) REFRIGERANT CYCLE DEVICE AND METHOD FOR CONTROLLING THE REFRIGERANT CYCLE DEVICE
EP3065688A4 (en) PNEUMO-MASSAGE METHOD AND DEVICE
HUE051917T2 (hu) Eljárás és eszköz két alrendszer együttes szimulációjához
EP2980294A4 (en) RAIL MANUFACTURING DEVICE AND METHOD FOR CONTROLLING THE RAILWAY MAKING DEVICE
SG11201602316PA (en) Apparatus and method for bonding substrates
EP3068150A4 (en) METHOD AND DEVICE FOR CONTROLLING A DEVICE
HK1205816A1 (en) Method for matching electronic device and system thereof
SG2014012942A (en) Device and method for aligning substrates
HK1202941A1 (en) Method for locating block and device thereof
SG11201509621VA (en) Device and method for coating a substrate
SG11201507894XA (en) Method and apparatus for uniformly metallization on substrate
EP2981894A4 (en) METHOD AND APPARATUS FOR PROCESS MANAGEMENT
EP3046379A4 (en) POSITIONING METHOD AND DEVICE
PL2986429T3 (pl) Urządzenie do powlekania profili i sposób powlekania profili