KR970000418B1 - 드라이 에칭 방법 - Google Patents

드라이 에칭 방법 Download PDF

Info

Publication number
KR970000418B1
KR970000418B1 KR1019880009038A KR880009038A KR970000418B1 KR 970000418 B1 KR970000418 B1 KR 970000418B1 KR 1019880009038 A KR1019880009038 A KR 1019880009038A KR 880009038 A KR880009038 A KR 880009038A KR 970000418 B1 KR970000418 B1 KR 970000418B1
Authority
KR
South Korea
Prior art keywords
gas
etched
etching
deposition
resist film
Prior art date
Application number
KR1019880009038A
Other languages
English (en)
Other versions
KR890003002A (ko
Inventor
신이찌 다찌
가즈노리 쯔지모도
사다유끼 오꾸다이라
Original Assignee
가부시기가이샤 히다찌세이사꾸쇼
미다 가쓰시게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시기가이샤 히다찌세이사꾸쇼, 미다 가쓰시게 filed Critical 가부시기가이샤 히다찌세이사꾸쇼
Publication of KR890003002A publication Critical patent/KR890003002A/ko
Application granted granted Critical
Publication of KR970000418B1 publication Critical patent/KR970000418B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

내용 없음.

Description

드라이 에칭 방법
제1도는 CCl4과 SF6의 혼합가스를 사용하여 레지스트 막을 마스크로 해서 Si를 에칭했을 때의 CCl4와 SF6의 혼합비와 Si와 레지스트의 에칭 선택비의 관계를 도시한 도면.
본 발명은 드라이 에칭 방법에 관한 것이며, 자세하게는 에칭 단면 형상의 제어나 높은 선택성을 실현할 수 있는 저온 드라이 에칭법에 관한 것이다.
에칭해야 할 반도체 기판의 온도를 종래보다 훨씬 낮은 0℃ 이하의 소정의 온도로 유지해서 드라이 에칭을 행하는 저온 드라이 에칭 방법은 예를 들면 일본 특허 공개 공보 소화 60-158627호에 기재되어 있다.
상기 종래 기술에는 실리콘을 저온에서 에칭을 행하면 사이드 에치가 매우 작은 에칭이 가능하다는 것이 제시되어 있지만, 선택성의 향상이나 단면 형상의 제어에 대해서는 전혀 언급되어 있지 않다. 따라서, 에치해야 할 반도체 기판의 온도를 내려서 에칭을 행한 것만으로는 다층막의 패터닝을 높은 정밀도로 행하거나, 또는 충전에 가장 적합한 단면 형상을 갖는 미세한 구멍을 형성하는 것은 곤란했다.
본 발명의 목적은 상기 종래의 문제를 해결하여, 높은 선택성과 높은 정밀도의 단면 형상 제어가 가능한 저온 드라이 에칭 방법을 제공하는 것에 있다.
상기 목적을 달성하기 위해 본 발명은 탄소나 실리콘 등의 퇴적성 원소를 포함하여 에칭할 때에 반도체 기판의 표면상에 막을 형성하는 가스(이와 같은 가스를 본 명세서에서는 퇴적성 가스(film forming gas)라 한다) 또는 O나 N 등과 같이 에치해야 할 반도체 기판의 표면과 반응해서 표면에 화합물 층을 형성하는 원소를 포함하는 화합물 가스(이와 같은 가스를 본 명세서에서는 개질 가스(surface modification gas)라 한다)를 에칭 가스와 함께 반응 통내에 도입하여 상기 반도체 기판의 드라이 에칭을 행하는 것이다.
드라이 에칭에 있어서의 플라즈마의 소스 가스로서 C나 Si 등과 같이 에칭할 때에 반도체 기판의 표면에 퇴적하는 원소를 포함하는 할로겐 화합물 가스나 O나 N과 같이 기판 표면과 반응해서 화합물을 만들어 표면의 성질을 바꾸는 가스를 에칭 가스인 Cl2나 SF6등에 혼합한 가스를 사용하면 플라즈마중에 포함하는 F 등의 부식액에 의한 에칭과 C나 Si 등에 의한 기판 표면상으로의 퇴적, O에 의한 산화 또는 N에 의한 질화물층의 형성 등이 동시에 진행한다. 특히, 반도체 기판의 측벽에 있어서는 이방성 에칭의 효과보다 퇴적 효과 또는 개질 현상이 일어나기 쉽고, 이 비율을 조정하는 것에 의해 측벽의 경사를 바라는 값으로 하는 테이퍼 형상 에칭이 가능하게 된다. 또, 반도체 기판의 온도가 매우 낮기 때문에 수평면에 있어서의 반응에서는 마스크인 레지스트 막의 에칭 속도가 극단으로 감소하여 대단히 높은 선택성의 에칭이 실현된다.
(실시예 1)
주지하는 평행 평판형 플라즈마 에칭 장치(통상 반응성 이온 에칭 장치라 한다)의 반응통내에 놓여진 반도체 기판을 -100℃로 냉각하여 퇴적성 가스로서 CCl4, 에칭 가스로서 SF6을 각각 사용하고, 양자의 혼합가스에 의해서, 상기 반도체 기판상에 형성되어 있는 폴리실리콘 막을 포토레지스트 막을 마스크로 사용해서 에칭하였다. 제1도는 SF6과 CCl4의 혼합비와 폴리실시콘과 레지스트 막(AZ1350J)의 선택비의 관계를 도시한 것이다. 제1도에서 20%이상의 CCl4를 SF6에 혼입하면, 선택비는 30이상으로 대단히 높은 값으로 되는 것을 알수 있다. 높은 단차부상에 형성된 폴리실리콘 막의 에칭에 매우 유효하여 양호한 에칭을 행할 수가 있었다. SF6에 혼합하는 첨가 가스로서는 상기 CCl4외에 CF4, CHF3, CHCl3, SiF4, SiCl4등과 같이 C나 Si의 할로겐 화합물, 수소 화합물 가스의 적어도 1종이 적합하였다. 즉, 에칭 가스와 C나 Si를 포함하는 상기 퇴적성 가스의 혼합 가스를 사용하여 저온의 에칭을 행하는 것에 의해 매우 높은 에칭 선택성을 얻을 수가 있다. 따라서, SF6대신에 Cl2나 Br2도 에칭가스로서 사용할 수 있다.
SF6에 CO2가스나 NH3가스를 5∼30% 혼합해서 마찬가지로 높은 선택비의 드라이 에칭을 할 수 있었다.
(실시예 2)
Al을 높은 선택비로 선택적으로 에칭하는데는 비퇴적성의 Cl2가스에 퇴적성 가스로써 Cl4나 SiCl4등의 가스를 혼입시켜 이 혼합 가스에 의해서 저온 에칭하는 방법이 적합하다. CCl4또는 SiCl4의 Cl2에 대한 혼합 비율은 5∼40%, 에칭시의 온도는 0℃∼-30℃로 하면 매우 양호한 결과가 얻어졌다. W 막 또는 Mo 막의 고선택 에칭을 -10℃∼0℃에 있어서 행하는데는 SF6에 5∼30%의 CCl4등의 퇴적성 가스 또는 NH3등의 개질성 가스를 혼입해서 사용하면 좋은 것이 인정되었다.
어느 것의 경우도 포토레지스트와의 선택비를 30 이상으로 할 수 있어 W막 또는 Mo막을 선택적으로 에칭할 수 있었다.
즉, 저온 에칭에 있어서 SF6등의 에칭성 가스에 CCl4등의 퇴적성 가스 또는 O2나 NH4등의 표면 개질성 가스를 5∼40% 혼합시켜서 사용하는 것에 의해 고선택성 에칭이 실현된다.
본 발명은 상기 재료외에 SiO2, Si3N4, Mo, 단결경 실리콘, 갈륨비소 등 반도체 디바이스 분야에서 사용되는 각종 재료의 에칭에 사용된다. 또, 에칭 장치도 상기 평행 평판형 에칭 장치 뿐만 아니라 마이크로파 플라즈마 에칭이나 마그네트론형 플라즈마 에칭을 사용해도 마찬가지로 우수한 결과가 얻어졌다.
(실시예 3)
폴리실리콘 막을 저온(-100℃)에서 SF6가스와 CHF3의 가스의 혼합 가스에 의해 에칭하면 폴리실리콘의 테이퍼 에칭이 가능하였다. CHF3의 혼합비는 SF6에 대해서 3∼20%가 적합하고, CHF3의 혼합비율을 변화시키면 폴리실리콘 막의 측벽의 테이퍼 각도가 변화하였다. 즉, 퇴적성 가스인 CHF3의 첨가량을 바꾸는 것에 의해, 에칭 선택비 외에 테이퍼각을 변화시키는 것도 가능하다. 본 방법에서는 마스크 칫수보다도 얻어진 패턴의 칫수가 작게 되는 일은 없고, 칫수 정밀도도 종래의 에칭방법을 사용한 경우보다도 향상하였다.
폴리실리콘 이외, 예를 들면 Al, SiO2, W, W-실리사이드, Mo-실리사이드, 단결정 실리콘 등의 막이라도 마찬가지로 에칭 가스와 퇴적성 가스를 혼합시킨 가스를 사용하는 방법에 의해 저온으로 테이퍼 에칭하는 것이 가능하다. 즉, 저온 에칭에 있어서의 방전 가스로써 2종 이상의 혼합 가스를 사용하여 그 중 1개를 비퇴적성의 에칭 가스로 하고, 그 외의 1개를 퇴적성 가스로 하는 것에 의해 고선택비(30 이상)이며, 또한 테이퍼 에칭이 가능했다.
본 발명에 의하면 고선택 에칭이나 테이퍼 에칭이 가능하므로 높은 단차의 패터닝이나 높은 애스팩트비의 가공에 적합하여 구멍 매입이 용이하게 되고, 오버 에칭에 의한 단선 등의 불량 발생율이 격감하는 등 반도체 디바이스 제조상 매우 큰 효과가 얻어졌다.
본 발명에 있어서, 에칭 가스와 퇴적성 또는 개질성 가스의 종류와 혼합비는 에치해야 할 재료의 종류와 에칭의 목적에 의해서 적당하게 선택된다.
예를 들면, W를 높은 에칭 선택성으로 에칭을 행하는 경우는 5∼40%의 CCl4또는 NH4를 SF6에 가해서 에칭을 행하면 포토레지스트 막 또는 SiO2막의 30배 이상의 에칭 속도가 피에칭물의 온도 0∼20℃에서 얻어졌다.
또, 포토레지스트 막을 마스크로 사용해서 폴리실리콘 막 또는 단결정 실리콘 기판을 에칭하는 경우는 CCl4와의 혼합 가스를 사용해서 CCl4의 량을 20% 이상으로 하면 -30∼-140℃의 온도에 있어서 30 이상이라는 매우 높은 에칭 선택비가 얻어졌다. W-실리사이드 및 Mo-실리사이드에 대해서도 마찬가지의 결과가 얻어졌다.
테이퍼 에칭을 행하는 경우는 가스의 혼합비를 바꾸는 것에 의해서 패턴 측벽의 경사 각도를 여러 가지로 바꿀 수가 있다. 예를 들면, SF6와 CHF3의 혼합가스를 사용해서 폴리실리콘 막 또는 단결정 실리콘 기판을 테이퍼 에치하는 경우 CHF3의 함유량을 15∼20%로 하면 패턴 측벽의 경사 각도는 수평면에 대해서 75°∼60°로 변하므로 가스의 혼합비를 적당히 조절하는 것에 의해서, 측벽은 바라는 경사 각도를 가진 패턴으로 형성될 수 있다. 이것은 예를 들면 가파른 단차에 기인하는 단선의 방지등에 유효하다.
본 발명에 있어서 피에치물의 온도는 중요하고, 종래와 같은 비교적 고온에서 에칭을 행하여도 매우 양호한 결과를 얻을 수 없다.
즉, 피에치물의 온도가 0℃보다 높아지면, 상기 혼합가스를 사용하여도 측면의 경사 각도의 제어가 대단히 곤란하게 되므로 피에치물의 온도는 0℃이하로 유지할 필요가 있다. 온도의 하한은 사용한 가스가 응축하는 온도이며, 가스 종류에 의해서 다른 것이다.

Claims (9)

  1. 마스크로서 레지스트 막을 가지며 선택적으로 에칭되는 피에치물을 드라이 에칭 장치의 반응 용기내에 배치하는 스텝, 상기 피에치물의 노출된 표면이 에칭되도록 가스의 플라즈마와 접촉시키는 스텝과 상기 피에치물을 선택적으로 에칭하는 스텝을 포함하는 드라이 에칭 방법에 있어서, 상기 피에치물이 레지스트 막 및 테이퍼 단면 형상에 대하여 높은 선택성으로 에칭되도록, 상기 가스는 (a)에칭가스와 (b)최적성 가스 또는 개질 가스를 포함하는 혼합 가스이고, 상기 피에치물의 온도는 에칭시 0℃ 이하로 유지되고, 상기 피에치물은 실리콘이고, 상기 혼합가스는 퇴적성 가스를 포함하고, 상기 퇴적성 가스는 CCl4, CF4, CHF3, CHCl3, SiF4및 SiCl4로 이루어진 군에서 선택된 적어도 하나이고, 상기 에칭 가스는 SF6인 드라이 에칭 방법.
  2. 제1항에 있어서, 상기 퇴적성 가스의 양은 20% 이상인 드라이 에칭 방법.
  3. 마스크로서 레지스트 막을 가지며 선택적으로 에칭되는 피에치물을 드라이 에칭 장치의 반응용기내에 배치하는 스텝, 상기 피에치물의 노출된 표면이 에칭되도록 가스의 플라즈마와 접촉시키는 스텝과 상기 피에치물을 선택적으로 에칭하는 스텝을 포함하는 드라이 에칭 방법에 있어서, 상기 피에치물이 레지스트 막 및 테이퍼 단면 형상에 대하여 높은 선택성으로 에칭되도록, 상기 가스는 (a)에칭가스와 (b)퇴적성 가스 또는 개질 가스를 포함하는 혼합 가스이고, 상기 피에치물의 온도는 에칭시 0℃ 이하로 유지되고, 상기 피에치물은 알루미늄이고, 상기 혼합 가스는 퇴적성 가스를 포함하고, 상기 퇴적성 가스는 CCl4와 SiF4에서 선택되고, 상기 에칭가스는 Cl2인 드라이 에칭 방법.
  4. 제3항에 있어서, 상기 퇴적성 가스의 양은 5∼40%인 드라이 에칭 방법.
  5. 마스크로서 레지스트 막을 가지며 선택적으로 에칭되는 피에치물을 드라이 에칭 장치의 반응용기내에 배치하는 스텝, 상기 피에치물의 노출된 표면이 에칭되도록 가스의 플라즈마와 접촉시키는 스텝과 상기 피에치물을 선택적으로 에칭하는 스텝을 포함하는 드라이 에칭 방법에 있어서, 상기 피에치물이 레지스트 막 및 테이퍼 단면 형상에 대하여 높은 선택성으로 에칭되도록, 상기 가스는 (a)에칭가스와 (b)퇴적성 가스 또는 개질 가스를 포함하는 혼합 가스이고, 상기 피에치물의 온도는 에칭시 0℃이하로 유지되고, 상기 피에치물은 텅스텐 막, 몰리브덴 막, 텅스텐 실리사이드 막과 몰리브덴 실리사이드 막으로 구성된 군에서 선택되고 상기 혼합 가스는 퇴적성 가스를 포함하고, 상기 퇴적성 가스는 CCl4이고, 상기 에칭 가스는 SF6인 드라이 에칭 방법.
  6. 제5항에 있어서, 상기 퇴적성 가스의 양은 5∼30%인 드라이 에칭 방법.
  7. 마스크로서 레지스트 막을 가지며 선택적으로 에칭되는 피에치물을 드라이 에칭 장치의 반응용기내에 배치하는 스텝, 상기 피에치물의 노출된 표면이 에칭되도록 가스의 플라즈마와 접촉시키는 스텝과 상기 피에치물을 선택적으로 에칭하는 스텝을 포함하는 드라이 에칭 방법에 있어서, 상기 피에치물이 레지스트 막 및 테이퍼 단면 형상에 대하여 높은 선택성으로 에칭되도록, 상기 가스는 (a)에칭가스와 (b)퇴적성 가스 또는 개질 가스를 포함하는 혼합 가스이고, 상기 피에치물의 온도는 에칭시 0℃ 이하로 유지되고, 상기 레지스트 막은 포토레지스트 막이고, 상기 피에치물은 Si로 이루어지고, 상기 에칭 가스는 SF6이고, 상기 퇴적성 가스 또는 개질 가스는 CCl4, CHF3과 MH3으로 구성된 군에서 선택되는 드라이 에칭 방법.
  8. 마스크로서 레지스트 막을 가지며 선택적으로 에칭되는 피에치물을 드라이 에칭장치의 반응용기내에 배치하는 스텝, 상기 피에치물의 노출된 표면이 에칭되도록 가스의 플라즈마와 접촉시키는 스텝과 상기 피에치물을 선택적으로 에칭하는 스텝을 포함하는 드라이 에칭 방법에 있어서, 상기 피에치물이 레지스트 막 및 테이퍼 단면 형상에 대하여 높은 선택성으로 에칭되도록, 상기 가스는 (a)에칭가스와 (b)퇴적성 가스 또는 개질 가스를 포함하는 혼합 가스이고, 상기 피에치물의 온도는 에칭시 0℃ 이하로 유지되고, 상기 레지스트 막은 포토레지스트 막이고, 상기 피에치물은 Al로 이루어지고, 상기 에칭 가스는 Cl2이고, 상기 퇴적성 가스 또는 개질 가스는 SiCl4와 CCl4로 구성된 군에서 선택되는 드라이 에칭 방법.
  9. 마스크로서 레지스트 막을 가지며 선택적으로 에칭되는 피에치물을 드라이 에칭장치의 반응용기내에 배치하는 스텝, 상기 피에치물의 노출된 표면이 에칭되도록 가스의 플라즈마와 접촉시키는 스텝과 상기 피에치물을 선택적으로 에칭하는 스텝을 포함하는 드라이 에칭 방법에 있어서, 상기 피에치물이 레지스트 막 및 테이퍼 단면 형상에 대하여 높은 선택성으로 에칭되도록, 상기 가스는 (a)에칭가스와 (b)퇴적성 가스 또는 개질 가스를 포함하는 혼합 가스이고, 상기 피에치물의 온도는 에칭시 0℃ 이하로 유지되고, 상기 레지스트 막은 포토레지스트 막이고, 상기 피에치물은 W로 이루어지고, 상기 에칭 가스는 SF6이고, 상기 퇴적성 가스 또는 개질 가스는 CCl4인 드라이 에칭 방법.
KR1019880009038A 1987-07-29 1988-07-20 드라이 에칭 방법 KR970000418B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP62187549A JPS6432627A (en) 1987-07-29 1987-07-29 Low-temperature dry etching method
JP62-187549 1987-07-29

Publications (2)

Publication Number Publication Date
KR890003002A KR890003002A (ko) 1989-04-12
KR970000418B1 true KR970000418B1 (ko) 1997-01-09

Family

ID=16208022

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019880009038A KR970000418B1 (ko) 1987-07-29 1988-07-20 드라이 에칭 방법

Country Status (3)

Country Link
US (1) US4992136A (ko)
JP (1) JPS6432627A (ko)
KR (1) KR970000418B1 (ko)

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0770529B2 (ja) * 1988-02-09 1995-07-31 富士通株式会社 エッチング方法
JP2506151B2 (ja) * 1988-06-15 1996-06-12 シャープ株式会社 半導体装置の製造方法
JPH0770530B2 (ja) * 1988-07-19 1995-07-31 富士通株式会社 エッチング方法
US5292673A (en) * 1989-08-16 1994-03-08 Hitachi, Ltd Method of manufacturing a semiconductor device
EP0416809A3 (en) * 1989-09-08 1991-08-07 American Telephone And Telegraph Company Reduced size etching method for integrated circuits
JPH0484414A (ja) * 1990-07-27 1992-03-17 Sony Corp ドライエッチング方法
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法
JPH04142738A (ja) * 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5100505A (en) * 1990-10-18 1992-03-31 Micron Technology, Inc. Process for etching semiconductor devices
JP3094470B2 (ja) * 1991-01-22 2000-10-03 ソニー株式会社 ドライエッチング方法
JP3018517B2 (ja) * 1991-01-25 2000-03-13 ソニー株式会社 ドライエッチング方法
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
JPH04354331A (ja) * 1991-05-31 1992-12-08 Sony Corp ドライエッチング方法
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
JPH0582560A (ja) * 1991-09-20 1993-04-02 Sony Corp 電界効果型トランジスタの製造方法
JP3024317B2 (ja) * 1991-10-25 2000-03-21 日本電気株式会社 半導体装置の製造方法
US5242538A (en) * 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
JP3198586B2 (ja) * 1992-02-14 2001-08-13 ソニー株式会社 ドライエッチング方法
US5314576A (en) * 1992-06-09 1994-05-24 Sony Corporation Dry etching method using (SN)x protective layer
US5352327A (en) * 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
JP3111661B2 (ja) * 1992-07-24 2000-11-27 ソニー株式会社 ドライエッチング方法
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
DE4319089C2 (de) * 1993-06-08 2001-12-06 Infineon Technologies Ag Verfahren zur Wolfram-Kontaktlochauffüllung durch ganzflächige Wolfram-Abscheidung mit reduzierter Schichtdicke und Rückätzung mit inversem Loading-Effekt
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6451217B1 (en) 1998-06-09 2002-09-17 Speedfam-Ipec Co., Ltd. Wafer etching method
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
KR20000076861A (ko) * 1999-05-12 2000-12-26 호리이케야스히로 웨이퍼 에칭방법
US6635335B1 (en) * 1999-06-29 2003-10-21 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
JP2001035808A (ja) 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
JP3527901B2 (ja) * 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
KR100425467B1 (ko) * 2001-09-29 2004-03-30 삼성전자주식회사 반도체소자를 위한 건식 식각방법
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US20040171272A1 (en) * 2003-02-28 2004-09-02 Applied Materials, Inc. Method of etching metallic materials to form a tapered profile
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
JP4488999B2 (ja) * 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
WO2007088302A1 (fr) * 2006-02-01 2007-08-09 Alcatel Lucent Procede de gravure anisotropique
JP4722725B2 (ja) * 2006-02-17 2011-07-13 東京エレクトロン株式会社 処理方法およびプラズマエッチング方法
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101251072B1 (ko) * 2011-07-12 2013-04-12 에이피티씨 주식회사 반도체소자의 식각방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20150270135A1 (en) * 2011-09-01 2015-09-24 Tel Epion Inc. Gas cluster ion beam etching process
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9230825B2 (en) * 2012-10-29 2016-01-05 Lam Research Corporation Method of tungsten etching
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140273451A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP2014075593A (ja) * 2013-11-22 2014-04-24 Semiconductor Energy Lab Co Ltd 配線の作製方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111725065B (zh) * 2020-07-23 2022-12-27 华虹半导体(无锡)有限公司 用于改善功率mos器件多晶硅表面平整度的刻蚀方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264409A (en) * 1980-03-17 1981-04-28 International Business Machines Corporation Contamination-free selective reactive ion etching or polycrystalline silicon against silicon dioxide
JPH0614518B2 (ja) * 1984-01-27 1994-02-23 株式会社日立製作所 表面反応の制御方法
US4615764A (en) * 1984-11-05 1986-10-07 Allied Corporation SF6/nitriding gas/oxidizer plasma etch system
US4741799A (en) * 1985-05-06 1988-05-03 International Business Machines Corporation Anisotropic silicon etching in fluorinated plasma
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
US4734157A (en) * 1985-08-27 1988-03-29 International Business Machines Corporation Selective and anisotropic dry etching
US4678540A (en) * 1986-06-09 1987-07-07 Tegal Corporation Plasma etch process
US4726879A (en) * 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces

Also Published As

Publication number Publication date
KR890003002A (ko) 1989-04-12
JPS6432627A (en) 1989-02-02
US4992136A (en) 1991-02-12

Similar Documents

Publication Publication Date Title
KR970000418B1 (ko) 드라이 에칭 방법
US4214946A (en) Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4412119A (en) Method for dry-etching
KR830000595B1 (ko) 반도체 장치의 제조법
US4522681A (en) Method for tapered dry etching
US4726879A (en) RIE process for etching silicon isolation trenches and polycides with vertical surfaces
KR100274080B1 (ko) 드라이에칭방법
US4943344A (en) Etching method
US4264409A (en) Contamination-free selective reactive ion etching or polycrystalline silicon against silicon dioxide
US20040217086A1 (en) Pattern formation method
US5354421A (en) Dry etching method
US5376228A (en) Dry etching method
JPH011236A (ja) 選択的薄膜エッチング法及びそれに使用するガス混合物
US4479850A (en) Method for etching integrated semiconductor circuits containing double layers consisting of polysilicon and metal silicide
JPH0779102B2 (ja) 半導体装置の製造方法
US4678540A (en) Plasma etch process
JPH0648680B2 (ja) 窒化物絶縁層を選択的にドライ・エッチングする気体混合物及び方法
US6620575B2 (en) Construction of built-up structures on the surface of patterned masking used for polysilicon etch
JPH10242117A (ja) 半導体装置の製造方法
US6069087A (en) Highly selective dry etching process
JP2650970B2 (ja) ドライエッチング方法
US5180466A (en) Process for dry etching a silicon nitride layer
US4465553A (en) Method for dry etching of a substrate surface
Smolinsky et al. Reactive ion etching of silicon oxides with ammonia and trifluoromethane. The role of nitrogen in the discharge
US4892635A (en) Pattern transfer process utilizing multilevel resist structure for fabricating integrated-circuit devices

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20011228

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee