KR950015563A - 플라즈마 처리장치및 플라즈마 처리방법 - Google Patents

플라즈마 처리장치및 플라즈마 처리방법 Download PDF

Info

Publication number
KR950015563A
KR950015563A KR1019940028969A KR19940028969A KR950015563A KR 950015563 A KR950015563 A KR 950015563A KR 1019940028969 A KR1019940028969 A KR 1019940028969A KR 19940028969 A KR19940028969 A KR 19940028969A KR 950015563 A KR950015563 A KR 950015563A
Authority
KR
South Korea
Prior art keywords
high frequency
electrode
processing apparatus
plasma processing
chamber
Prior art date
Application number
KR1019940028969A
Other languages
English (en)
Other versions
KR100302167B1 (ko
Inventor
다카오 사카모토
가즈히로 다하라
켄지 모모세
고스케 이마후쿠
쇼스코 엔도
유키오 나이토
가즈야 나가세키
게이조 히로세
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼 가이샤
이노우에 쥰이치
도오교오 에레구토론 야마나시 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5301271A external-priority patent/JP3045445B2/ja
Priority claimed from JP30127093A external-priority patent/JPH07130719A/ja
Priority claimed from JP31268393A external-priority patent/JP3144969B2/ja
Priority claimed from JP5312684A external-priority patent/JPH07142454A/ja
Priority claimed from JP6113587A external-priority patent/JP3062393B2/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼 가이샤, 이노우에 쥰이치, 도오교오 에레구토론 야마나시 가부시끼 가이샤 filed Critical 이노우에 아키라
Publication of KR950015563A publication Critical patent/KR950015563A/ko
Application granted granted Critical
Publication of KR100302167B1 publication Critical patent/KR100302167B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 처리장치는, 접지된 챔버와, 이 챔버내를 배기하는 진공펌프와, 웨이퍼가 얹어놓이는 서셉터와, 이 서셉터와 대면하도록 챔버내에 설치되는 샤워전극과, 이 샤워전극의 쪽으로부터 서셉터상의 웨이퍼체로 향하여 플라즈마 생성용 가스를 공급하는 가스 공급장치와, 서셉터 및 샤워전극의 양자에 대하여 제1의 주파수 fl의 고주파 전압을 각각 인가하는 제1의 고주파 전원과, 서셉터 및 샤워전극의 적어도 한쪽에 대하여 제1의 주과수 fl보다 높은 주파수인 제2의 주파수 f2를 가지는 고주과 전압을 인가하는 제2의 고주파 전원과, 그의 일차측이 제1의 고주파 전원에 접속되고, 그의 이차측은 제1 및 제2의 전극의 각각에 접속된 트랜스와, 이 트랜스의 이차측의 회로에 설치되고, 플라즈마 생성중에 있어서의 제1의 주파수 fl의 고주파 전류는 통과시키지만 제2의 주파수 f2의 고주파 전류는 차단하는 로우패스 필터를 가진다.

Description

플라즈마 처리장치및 플라즈마 처리방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는, 본 발명의 제1실시예에 관한 플라즈마 처리장치를 모식적으로 나타내는 기본 블록도.
제2도는, 본 발명의 제2의 실시예에 관한 플라즈마 처리장치를 모식적으로 나타내는 기본 블록도.
제3도는, 본 발명의 제3의 실시예에 관한 플라즈마 처리장치를 모식적으로 나타내는 기본 블록도.
제4도는, 본 발명의 제4실시예에 관한 플라즈마 처리장치를 모식적으로 나타내는 기본 블록도.
제5도는, 본 발명의 제1의 실시예에 관한 플라즈마 처리장치를 모식적으로 나타내는 기본 블록도.

Claims (25)

  1. 피처리체를 감압하에서 플라즈마 처리하는 플라즈마 처리장치로서, 접지된 챔버와, 이 챔버내를 배기하는 배기수단과, 피처리체가 얹어놓이는 제1의 전극과, 이 제1의 전극과 대면하도록 챔버내에 설치되는 제2의 전극과, 이 제2의 전극의 쪽으로부터 제1의 전극상의 피처리체로 향하여 플라즈마 생성용 가스를 공급하는 가스 공급 수단과, 제1 및 제2의 전극의 양자에 대하여 제1의 주파수 f1의 고주파 전압을 각각 인가하는 제1의 고주파 전원과, 제1 및 제2의 전극의 적어도 한쪽에 대하여 제1의 주파수 f1보다 높은 주과수인 제2의 주파수 f2를 가지는 고주파 전압을 인가하는 제2의 고주파 전원과, 그의 일차측이 제1의 고주파 전원에 접속되고, 그의 이차측은 제1 및 제2의 전극의 각각에 접속된 트랜스와, 이 트랜스의 이차측의 회로에 설치되고, 플라즈마 생성중에 있어서는 제1의 주파수 fl의 고주파 전류는 통과시키지만 제2의 주과수 f2의 고주파 전류는 차단하는 로우패스 필터를 가지는 플라즈마 처리장치.
  2. 제1항에 있어서, 그의 일차측이 제2의 고주파 전원에 접속되고, 그의 이차측이 제1 및 제2의 전극의 각각에 접속된 제2의 트랜스를 더욱 가지는 플라즈마 처리장치.
  3. 제1항에 있어서, 제2의 고주파 전원은, 제1의 전극에만 제2의 주파수 f2의 고주파 전압을 인가하도록 되어있는 플라즈마 처리장치.
  4. 제1항에 있어서, 제2의 고주파 전원은, 제2의 전극에만 제2의 주파수 f2의 고주파 전압을 인가하도록 되어있는 플라즈마 처리장치.
  5. 제1항에 있어서, 제1의 주파수 fl는 10kHz∼5MHz의 범위에 있는 플라즈마 처리장치.
  6. 제1항에 있어서, 피처리체는 반도체웨이퍼상에 헝성된 산화실리콘(SiO2)막이며, 제1의 주파수는 380kHz인 플라즈마 처리장치.
  7. 제1항에 있어서, 피처리체는 반도체웨이퍼상에 형성된 폴리 실리콘막이며, 제1의 주파수는 2∼5kHz의 범위에 있는 플라즈마 처리장치.
  8. 제1항에 있어서, 제2의 주파수 f2는 10kHz∼100MHz의 범위에 있는 플라즈마 처리장치.
  9. 제1항에 있어서, 제2의 주파수 f2는 13.56MHz인 플라즈마 처리장치.
  10. 제1항에 있어서, 제1의 고주파 전원으로부터 제1 및 제2의 전극에 각각 인가되는 고주과 파워를 분배하는 콘트롤러를 가지는 플라즈마 처리장치.
  11. 제1항에 있어서, 제1의 고주파 전원은, 제1의 전극에 인가되는 고주파 파워에 대하여 180° 위상이 다른 고주파 파워를 제2의 전극에 인가하도록 되어 있는 플라즈마 처리장치.
  12. 제1항에 있어서, 제1의 주파수 전원은, 일정한 고주파 파워를 제1 및 제2의 전극의 각각에 인가하도록 되어 있는 플라즈마 처리장치.
  13. 제1항에 있어서, 제1의 고주파 전원은, 강약변화하는 모듈레이션 고주파 파워를 제1 및 제2의 전극의 각각에 인가하도록 되어 있는 플라즈마 처리장치.
  14. 제1항에 있어서, 배기수단은, 챔버내 압력이 10∼300mTorr로 되도록록 챈버내를 배기하는 플라즈마 처리 장치.
  15. 피처리체를 감압하에서 플라즈마 처리하는 플라즈마 처리장치로서, 접지된 챔버와, 이 챔버네를 배기하는 배기수단과, 피처리테가 얹어놓이는 제1의 전극과, 이 제1의 전극과 대면하도록 챔버내에 설치되는 제2의 전극과, 이 제2의 전극의 쪽으로부터 제1의 전극상의 피처리체로 향하여 플라즈마 생성용 가스를 공급하는 가스 공급 수단과, 적어도 2개의 다른 주파수외 고주파 전압을 생성시키는 복수의 고주파 전원과, 이들 고주파 전원과 제1 및 제2의 전원의 어느 쪽인가 한쪽의 사이에 헝성되고, 다른 주파수의 고주파전압을 합성하는 합성수단과, 이 합성수단에 의하여 합성된 합성고주파 전압을 증폭하는 증폭수단을 가지는 플라즈마 처리장치.
  16. 제15항에 있어서, 합성 고주파전압을 정합하는 정합수단을 더욱 가지는 플라즈마 처리장치.
  17. 피처리체를 감압하에서 플라즈마 처리하는 플라즈마 처리장치로서, 접지된 챔버와, 이 챔버내를 배기하는 배기수단과, 피처리체가 얹어놓이는 제1의 전극과 이 제1의 전극과 대면하도록 챔버내에 설치되는 제2의 전극과, 이 제2의 전극의 쪽으로부터 제1의 전극상의 피처리체로 항하여 플라즈마 생성용 가스를 공급하는 가스 공급 수단과, 적어도 2개의 다른 주파수의 고주파 전압을 생성시키는 복수의 고주파 전원과, 이들 고주파 전원과 제1 및 제2의 저원은 어느 쪽인가 한쪽의 사이에 형성되고, 다른 주파수의 고주파전압을 합성하는 합성수단과, 이 합성수단에 의하여 합성된 합성고주파 전압을 2개의 제1 및 제2의 고주파 전압으로 분배하는 분배수단과, 이 분배 수단에 의하여 분배된 제1 및 제2의 고주파전압의 위상을 각각 제어하는 위상제어수단과, 이 위상제어수단에 의하여 위상제어된 제1의 고주파 전압을 증폭하는 제1의 증폭수단을 가지며, 증폭된 제1의 고주파 전압을 제1전극에 인가하는 제1의 회로와, 위상제어수단에 의하여 위상제어된 제2의 고주파 전압을 증폭하는 제2의 증폭수단을 가지며, 증폭된 제2의 고주파 전압을 제2의 전극에 인가하는 제2의 회로를 가지는 플라즈마 처리장치.
  18. 피처리체를 감압하에서 플라즈마 처리하는 플라즈마 처리장치로서, 접지된 챔버와, 이 챔버내를 배기하는 배기수단과, 피처리체가 얹어놓이는 제1의 전극과, 이 제1의 전극과 대면하도록 챔버내에 설치되는 제2의 전극과, 이 제2의 전극의 쪽으로부터 제1의 전극상의 피처리체로 향하여 플라즈마 생성용 가스를 공급하는 가스 공급 수단과, 제1의 주파수 (f)의 고주파 출력신호를 발신하는 고주파 발신기와, 발신된 제1의 출력신호를 분주하여 제2의 주파수(f/n)좌 출력신호를 발생시키는 분주기와, 제1의 출력신호를 증폭하는 제1의 증폭기와, 제2의 출력 신호를 증폭하는 제2의 증폭기와, 증폭된 제1의 신호를 제1및 제2의 전극의 어느 쪽인가 한쪽에 인가하는 제1의 회로와, 증폭된 제2의 신호를 제1 및 제2의 전극의 어느 쪽인가 한쪽에 인가하는 제2의 회로를 가지는 플라즈마 처리 장치.
  19. 제18항에 있어서, 분주기는 제1의 주파수 (f)와 제2의 주파수(f/n)이 1자리의 범위내에서 상위하도록 분주하도록 되어 있는 플라즈마 처리장치.
  20. 피처리체를 감압하에서 플라즈마 처리하는 플라즈마 처리장치로서, 접지된 챔버와, 이 챔버내를 배기하는 배기수단과, 피처리체가 얹어놓이는 제1의 전극과, 이 제1의 전극과 대면하도록 챔버내에 설치되는 제2의 전극과, 이 제2의 전극의 쪽으로부터 제1의 전극상의 피처리체로 향하여 플라즈마 생성용 가스를 공급하는 가스 공급 수단과, 제1 및 제2의 고주파 출력신호를 발신하는 고주파 발신기와, 발신된 제1 및 제2의 출력신호를 각각 위상 제어하는 위상제어기와, 위상제어된 제1의 고주파 출력신호를 증폭하는 제1의 증폭기를 가지며, 증폭된 제1의 고주파 출력신호를 제1의 전극에 인가하는 제1의 회로와, 위상제어된 제2의 고주파 출력신호를 증폭하는 제2의 증폭기를 가지며, 증폭된 제2의 고주파 출력신호를 증폭하는 제2의 전극에 인가하는 제2의 회로와, 챔버내에서 발생한 반응생성물을 검출하고, 그 검출신호를 위상제어기로 송신하는 검출기를 가지며, 위상제어기는, 검출기로부터의 검출신호에 기초하여 제1 및 제2의 전극에 대하여 인가하는 제1 및 제2의 고주파 출력신호를 피이드백 제어하는 플라즈마 처리장치.
  21. 제20항에 있어서, 위상제어기는, 검출기로부터의 검출신호에 기초하여 제1 및 제2의 고주파 출력신호를 위상차 180°로 되도록 제어하는 플라즈마 처리장치.
  22. 제20항에 있어서, 위상제어기는, 검출기로부터의 검출신호에 기초하여 제1 및 제2의 고주파 출력신호를 위상차 90°로 되도록 제어하는 플라즈마 처리장치.
  23. 피처리체를 감압하에서 플라즈마 처리하는 플라즈마 처리장치로서, 접지된 챔버와, 이 챔버내를 배기하는 배기수단과. 피처리체가 얹어놓이는 제1의 전극과, 이 제1의 전극과 대면하도록 챔버내에 설치되는 제2의 전극과, 이 제2의 전극의 쪽으로부터 제1의 전극상의 피처리체로 향하여 플라즈마 생성용 가스를 공급하는 가스 공급 수단과, 고주파 출력신호를 발신하는 고주파 밭신기와, 이 고주파 발신기로부터 발신되는 고주파 출력신호를 제어하는 제어기와, 챔버내에서 발생한 반응생성물을 검출하고, 그 검출신호를 제어기로 송신하는 검출기를 가지며, 제어기는, 검출기로부터의 검출신호에 따라서 고주파 발신기를 온오프 제어하는 플라즈마 처리장치.
  24. 제23항에 있어서. 제익기는, 일정한 시간간격에 따라서 고주파 발진기로부터의출력을 온오프 제어하도록 되어 있는 플라즈마 처리장치.
  25. 피처리체를 감압하에서 플라즈마 처리하는 플라즈마 처리방법으로서. 처리의 초기에 있어서는, 이방성 에칭경향을 가지는 위상차의 제1 및 제2의 고주파 출력신호를 상부전극 및 하부전극의 각각에 인가하고, 챔버내에 있어서의 반응생성물의 변화를 감시하고, 그 변화가 소정의 문턱치에 도달한 경우에, 등방성 에칭경향을 가지는 위상차의 제1및 제2의 고주파 출력을 상부전극 및 하부전극의 각각에 인가하고, 처리의 중기 및 말기에 있어서는, 챔버내의 반응생성물의 변화에 따라서 제1 및 제2의 전극에 대한 제1 및 제2의 고주파 출력신호의 인가를 정지하는 플라즈마 처리방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940028969A 1993-11-05 1994-11-05 플라즈마처리장치및플라즈마처리방법 KR100302167B1 (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP5301271A JP3045445B2 (ja) 1993-11-05 1993-11-05 プラズマ処理装置および方法
JP30127093A JPH07130719A (ja) 1993-11-05 1993-11-05 プラズマ処理装置
JP93-301270 1993-11-05
JP93-301271 1993-11-05
JP31268393A JP3144969B2 (ja) 1993-11-17 1993-11-17 プラズマエッチング方法
JP5312684A JPH07142454A (ja) 1993-11-17 1993-11-17 プラズマ処理装置
JP93-312683 1993-11-17
JP93-312684 1993-11-17
JP6113587A JP3062393B2 (ja) 1994-04-28 1994-04-28 プラズマ処理装置
JP94-113587 1994-04-28

Publications (2)

Publication Number Publication Date
KR950015563A true KR950015563A (ko) 1995-06-17
KR100302167B1 KR100302167B1 (ko) 2001-11-22

Family

ID=27552408

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940028969A KR100302167B1 (ko) 1993-11-05 1994-11-05 플라즈마처리장치및플라즈마처리방법

Country Status (3)

Country Link
US (1) US5698062A (ko)
KR (1) KR100302167B1 (ko)
TW (1) TW269048B (ko)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6155198A (en) * 1994-11-14 2000-12-05 Applied Materials, Inc. Apparatus for constructing an oxidized film on a semiconductor wafer
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
TW340957B (en) * 1996-02-01 1998-09-21 Canon Hanbai Kk Plasma processor and gas release device
US5824606A (en) * 1996-03-29 1998-10-20 Lam Research Corporation Methods and apparatuses for controlling phase difference in plasma processing systems
US6048435A (en) * 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
DE19702294A1 (de) * 1997-01-23 1998-07-30 Rossendorf Forschzent Modulator für die Plasmaimmersions-Ionenimplantation
JPH10214822A (ja) * 1997-01-30 1998-08-11 Nec Corp プラズマエッチング装置およびエッチング方法
JPH10223607A (ja) * 1997-02-03 1998-08-21 Mitsubishi Electric Corp プラズマ処理装置
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6091060A (en) * 1997-12-31 2000-07-18 Temptronic Corporation Power and control system for a workpiece chuck
US6348679B1 (en) 1998-03-17 2002-02-19 Ameritherm, Inc. RF active compositions for use in adhesion, bonding and coating
US20010037770A1 (en) * 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
JP3066007B2 (ja) * 1998-06-24 2000-07-17 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
US6277235B1 (en) 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2001035839A (ja) * 1999-05-18 2001-02-09 Hitachi Kokusai Electric Inc プラズマ生成装置および半導体製造方法
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6649888B2 (en) 1999-09-23 2003-11-18 Codaco, Inc. Radio frequency (RF) heating system
DE19957169A1 (de) * 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung
US6203661B1 (en) * 1999-12-07 2001-03-20 Trusi Technologies, Llc Brim and gas escape for non-contact wafer holder
JP4514911B2 (ja) * 2000-07-19 2010-07-28 東京エレクトロン株式会社 プラズマ処理装置
TW511398B (en) * 2000-09-12 2002-11-21 Tokyo Electron Ltd Apparatus and method to control the uniformity of plasma by reducing radial loss
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100384060B1 (ko) * 2000-12-04 2003-05-14 삼성전자주식회사 반도체장치 애싱설비의 척 플레이트 및 이를 이용한 척조립체
JP4109861B2 (ja) * 2000-12-12 2008-07-02 キヤノン株式会社 真空処理方法
WO2002054835A2 (en) * 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6714033B1 (en) * 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
JP4035298B2 (ja) * 2001-07-18 2008-01-16 キヤノン株式会社 プラズマ処理方法、半導体装置の製造方法および半導体装置
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
KR100489624B1 (ko) * 2002-06-21 2005-05-17 주식회사 디엠에스 상압 플라즈마 발생 장치
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US20040157430A1 (en) * 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP2005203166A (ja) * 2004-01-14 2005-07-28 Pioneer Electronic Corp プラズマ処理方法およびプラズマ処理装置
JP2008508166A (ja) * 2004-06-18 2008-03-21 リージェンツ・オブ・ザ・ユニヴァーシティー・オブ・ミネソタ 高周波プラズマを用いてナノ粒子を生成するための方法および装置
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US8453600B2 (en) * 2004-12-28 2013-06-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus
EP1753011B1 (de) * 2005-08-13 2012-10-03 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zur Erzeugung von Ansteuersignalen für HF-Leistungsgeneratoren
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006052061B4 (de) * 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
JP5199595B2 (ja) * 2007-03-27 2013-05-15 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
KR101447162B1 (ko) * 2007-08-10 2014-10-07 주성엔지니어링(주) 박막증착을 위한 플라즈마 공정장치 및 이를 이용한미세결정질 실리콘 박막의 증착방법
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
KR100955207B1 (ko) 2007-10-22 2010-04-29 다이나믹솔라디자인 주식회사 이중 기판 처리를 위한 용량 결합 플라즈마 반응기
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
GB2459103A (en) * 2008-04-09 2009-10-14 Univ Sheffield Biased plasma assisted processing
JP5390846B2 (ja) 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8809803B2 (en) 2012-08-13 2014-08-19 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma ion source with multiple antennas for wide ion beam
US9738976B2 (en) * 2013-02-27 2017-08-22 Ioxus, Inc. Energy storage device assembly
KR102201881B1 (ko) * 2015-08-13 2021-01-13 세메스 주식회사 Rf 신호 생성기 및 그를 포함하는 기판 처리 장치
CN106234557A (zh) * 2016-10-10 2016-12-21 成都沃特塞恩电子技术有限公司 一种射频功率源和射频解冻装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11908662B2 (en) 2018-11-21 2024-02-20 Applied Materials, Inc. Device and method for tuning plasma distribution using phase control
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111001503B (zh) * 2019-12-04 2021-07-09 拓荆科技股份有限公司 加热装置及温度控制喷淋组件
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
JPS5633839A (en) * 1979-08-29 1981-04-04 Hitachi Ltd Plasma treatment and device therefor
JPS5812347B2 (ja) * 1981-02-09 1983-03-08 日本電信電話株式会社 プラズマエッチング装置
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
KR890004881B1 (ko) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60245213A (ja) * 1984-05-21 1985-12-05 Hitachi Ltd プラズマ処理装置
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
US4626312A (en) * 1985-06-24 1986-12-02 The Perkin-Elmer Corporation Plasma etching system for minimizing stray electrical discharges
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JPH0747820B2 (ja) * 1989-09-22 1995-05-24 株式会社日立製作所 成膜装置
US4954212A (en) * 1989-09-26 1990-09-04 Vlsi Technology, Inc. Endpoint detection system and method for plasma etching
JP3016821B2 (ja) * 1990-06-15 2000-03-06 東京エレクトロン株式会社 プラズマ処理方法
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04317324A (ja) * 1991-04-16 1992-11-09 Matsushita Electric Ind Co Ltd プラズマ発生方法およびプラズマ発生装置
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
KR0184675B1 (ko) * 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5228939A (en) * 1991-12-30 1993-07-20 Cheng Chu Single wafer plasma etching system

Also Published As

Publication number Publication date
US5698062A (en) 1997-12-16
TW269048B (ko) 1996-01-21
KR100302167B1 (ko) 2001-11-22

Similar Documents

Publication Publication Date Title
KR950015563A (ko) 플라즈마 처리장치및 플라즈마 처리방법
KR102145815B1 (ko) 플라스마 처리 방법 및 플라스마 처리 장치
JP6374647B2 (ja) プラズマ処理装置
KR102033120B1 (ko) 플라즈마 처리 방법
KR102346940B1 (ko) 플라즈마 처리 장치
KR102038617B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US7292047B2 (en) High-frequency power source
TWI552223B (zh) 電漿處理裝置
KR20150051879A (ko) 플라즈마 처리 장치
KR101938151B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
KR20080020458A (ko) 기판의 플라즈마 처리장치 및 플라즈마 처리방법
JP2006286254A5 (ko)
US20220122810A1 (en) Plasma processing apparatus and plasma processing method
KR20200096734A (ko) 고주파 전원 및 플라즈마 처리 장치
JP2016105489A (ja) プラズマ処理方法
JPH0888218A (ja) プラズマエッチング方法及びその装置
JPH07142453A (ja) プラズマエッチング装置
KR100390532B1 (ko) 반도체 장치의 플라즈마 처리장치 및 그 처리방법
WO2024106256A1 (ja) プラズマ処理装置及びプラズマ処理方法
WO2024062804A1 (ja) プラズマ処理装置及びプラズマ処理方法
JP2001093890A (ja) プラズマエッチング装置及びエッチング方法
JP2023001473A (ja) プラズマ処理装置及びプラズマ処理方法
KR20210130032A (ko) 온/오프 스위칭이 가능한 중주파 플라즈마 처리장치

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20140626

Year of fee payment: 14

EXPY Expiration of term