KR20190058342A - 완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법 - Google Patents

완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법 Download PDF

Info

Publication number
KR20190058342A
KR20190058342A KR1020180143516A KR20180143516A KR20190058342A KR 20190058342 A KR20190058342 A KR 20190058342A KR 1020180143516 A KR1020180143516 A KR 1020180143516A KR 20180143516 A KR20180143516 A KR 20180143516A KR 20190058342 A KR20190058342 A KR 20190058342A
Authority
KR
South Korea
Prior art keywords
substrate
metal layer
gas
exposing
silanol
Prior art date
Application number
KR1020180143516A
Other languages
English (en)
Other versions
KR102523731B1 (ko
Inventor
칸다바라 엔. 타필리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190058342A publication Critical patent/KR20190058342A/ko
Priority to KR1020220116934A priority Critical patent/KR102549289B1/ko
Application granted granted Critical
Publication of KR102523731B1 publication Critical patent/KR102523731B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Robotics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

선택적 막 퇴적을 위한 방법이 제공된다. 하나의 방법은 유전체 재료 및 금속층을 포함하는 기판을 제공하는 단계 - 상기 금속층은 상부에 산화된 금속층을 가짐 - , 상기 기판을 금속 함유 촉매층으로 코팅하는 단계, 알콜 용액으로 상기 기판을 처리하여 상기 산화된 금속층 상의 금속 함유 촉매층과 함께 금속층으로부터 상기 산화된 금속층 제거하는 단계, 및 상기 유전체 재료의 금속 함유 촉매층 상에 SiO2 막을 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 공정 가스에 상기 기판을 노출시키는 단계를 포함한다.

Description

완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법{METHOD OF SELECTIVE DEPOSITION FOR FORMING FULLY SELF-ALIGNED VIAS}
관련 출원에 대한 상호 참조
본 출원은 2017년 11월 20일자로 출원된 미국 가출원 제60/588,855호에 관한 것으로 이것에 대한 우선권을 주장하며, 이것의 전체 내용은 참고로 본 명세서에 통합된다. 본 출원은 2018년 6월 15일자로 출원된 미국 가출원 제60/685,8472호에 관한 것으로 이것에 대한 우선권을 주장하며, 이것의 전체 내용은 참고로 본 명세서에 통합된다.
발명의 분야
본 발명은 반도체 프로세싱 및 반도체 디바이스에 관한 것으로, 보다 상세하게는 표면 전처리(pretreatment)를 이용한 선택적 막 퇴적 방법에 관한 것이다.
디바이스 크기가 작아짐에 따라, 반도체 디바이스 제조의 복잡성이 증가하고 있다. 반도체 장치를 생산하는 비용도 증가하고 비용 효율적인 솔루션과 혁신이 필요하다. 더 작은 트랜지스터가 제조됨에 따라, 패턴화된 피처의 임계 치수(critical dimension; CD) 또는 분해능이 생산하기가 점점 어려워지고 있다. 박막의 선택적 퇴적은 고도로 스케일링된 기술 노드에서의 패터닝의 핵심 단계이다. 상이한 재료 표면 상에 선택적인 막 퇴적을 제공하는 새로운 퇴적 방법이 요구된다.
본 발명의 실시예는 표면 전처리를 이용한 선택적 막 퇴적 방법을 제공한다.
일 실시예에 따르면, 상기 방법은 유전 재료 및 금속층을 포함하는 기판을 제공하는 단계 - 상기 금속층은 산화된 금속층을 가짐 - 와, 상기 기판을 금속 함유 촉매층으로 코팅하는 단계와, 상기 기판을 알콜 용액으로 처리하여 상기 산화된 금속층 상의 금속 함유 촉매층과 함께 상기 금속층으로부터 상기 산화된 금속층을 제거하는 하는 단계와, 유전체 재료의 상기 금속 함유 촉매층 상에 SiO2 막을 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 프로세스 가스에 기판을 노출시키는 단계를 포함한다.
본 발명의 또 다른 실시예에 따르면, 상기 방법은, 유전체 재료 및 금속층을 포함하는 기판을 제공하는 단계 - 상기 금속층은 그 위에 산화된 금속층을 가짐 - 와, 상기 기판 상에 자기 조립된 단층(self-assembled monolayer; SAM)을 형성하는 분자를 함유하는 반응 가스에 상기 기판을 노출시키는 단계와, 상기 기판을 퇴적 가스에 노출시킴으로써 상기 산화된 금속층에 관련된 상기 유전체 재료 상에 금속 산화물 막을 선택적으로 퇴적하는 단계와, 상기 금속 산화물 막 상에 실리콘 산화물(SiO2) 막을 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 프로세스 가스에 상기 기판을 노출시키는 단계를 포함한다.
본 발명의 또 다른 실시예에 따르면, 상기 방법은 유전체 재료 및 금속층을 포함하는 기판을 제공하는 단계 - 상기 금속층은 그 위에 산화된 금속층을 가짐 - 와, 플라즈마 소스에 의해 여기된 수소 가스에 상기 기판을 노출시키는 단계와, 상기 기판을 퇴적 가스에 노출시킴으로써 유전체 재료 상에 금속 산화물 막을 선택적으로 퇴적하는 단계와, 상기 금속 산화물 막 상에 SiO2 막을 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 프로세스 가스에 상기 기판을 노출시키는 단계를 포함한다.
본 발명의 실시예 및 그에 따른 다수의 이점에 대한 보다 완전한 이해는, 특히 첨부 도면과 함께 고려될 때, 다음의 상세한 설명을 참조하면 쉽게 명백해질 것이다.
도 1a 내지 도 1d는 본 발명의 실시예에 따른 SiO2 막을 기판에 선택적으로 퇴적하는 방법의 개략적인 단면도를 도시한다.
도 2a 내지 도 2e는 본 발명의 실시예에 따른 유전체 적층 막을 기판에 선택적으로 퇴적하는 방법의 개략적인 단면도를 도시한다.
도 3 내지 도 5는 본 발명의 실시예에 따라 H2 가스로 기판을 전처리하기 위한 RLSATM 플라즈마 시스템을 포함하는 플라즈마 프로세싱 시스템의 개략도이다.
본 발명의 실시예는, 금속층에 대해 유전체 재료 상에 실리콘 산화물 막 및 유전체 적층 막을 선택적으로 퇴적하기 위한 효과적인 표면 전처리 방법을 제공한다.
도 1a 내지 도 1d는 본 발명의 실시예에 따른 SiO2 막을 기판에 선택적으로 퇴적하는 방법의 개략적인 단면도를 도시한다. 도 1a에서, 패터닝된 기판(1)은 유전체 재료(100), 유전체 재료(100) 상의 유전체 재료(101), 유전체 재료(100)에 매립된 금속층(104), 금속층(104) 상의 산화된 금속층(107), 및 유전체 재료(100)로부터 금속층(104)을 분리하는 확산 배리어 층(102)을 포함한다. 금속층(104)은 예를 들어 구리(Cu), 루테늄(Ru), 코발트(Co), 또는 텅스텐(W)을 함유할 수 있다. 산화된 금속층(107)은 예를 들어 산화된 Cu, 산화된 Ru, 산화된 Co, 또는 산화된 W를 함유할 수 있다. 유전체 재료(100)는 예를 들어, 로우-k 유전체 재료, SiO2, 또는 금속 함유 유전체 재료를 포함할 수 있다. 예를 들어, 금속 함유 유전체 재료는 금속 산화물, 금속 질화물, 또는 금속 산질화물을 포함할 수 있다. 일부 예에서, 확산 배리어 층(102)은 TaN, TiN, TaSiN, 또는 TiSiN을 포함할 수 있다. 유전체 재료(101)는 도 1a에 도시된 바와 같이 기판(1)을 평탄화하기 위한 화학적 기계적 평탄화(CMP) 프로세스에서 에칭 정지 층으로서 사용될 수 있다. 유전체 재료(101)는 SiCN 또는 SiOC를 함유할 수 있다. 일 예에서, 유전체 재료(101)는 유전체 재료(100)와 동일한 재료를 포함할 수 있다. 산화된 금속층(107)은 프로세싱 시스템(들) 내의 프로세스 가스 또는 백그라운드 가스로부터의 O2 및 H2O의 노출을 포함하여, 기판 프로세싱 동안에 산소 함유 가스에 금속층(104)을 노출시킴으로써 형성될 수 있다. 일 예에서, 산화된 금속층(107)은 CMP 프로세스 동안에 또는 CMP 프로세스 후에 형성될 수 있다. 일 예에서, 산화된 금속층(107)은 금속층(104)을 노출시키는 개방 영역에 대하여 불완전할 수 있다.
본 발명의 실시예에 따르면, 산화된 금속층(107) 또는 금속층(104)에 관련된 유전체 재료(101) 상에 SiO2 막을 선택적으로 퇴적하는 방법이 제공된다. 산화된 금속층(107)의 존재는, 퇴적 선택도를 감소시킴으로써 선택적인 SiO2 막 퇴적에 영향을 줄 수 있다. 그러므로, 금속층(104)으로부터 산화된 금속층(107)을 효율적으로 제거하는 기판 전처리가 제공되는데, 기판 전처리는 완전히 자기 정렬된 비아 및 다른 리세싱된 피처를 형성하기 위한 선택적인 SiO2 막 퇴적 방법으로 통합될 수 있다.
일 실시예에 따르면, 도 1a의 기판(1)은 금속 함유 촉매층(105)으로 코팅된다. 도 1b에 개략적으로 도시된 바와 같이, 산화된 금속층(107) 상의 금속 함유 촉매층(105)("X")의 표면 밀도는, 유전체 재료(100) 상의 표면 밀도보다 낮을 수 있다. 본 발명의 일부 실시예에 따르면, 금속 함유 촉매층(105)은 알루미늄(Al), 티타늄(Ti), 또는 알루미늄 및 티타늄 양자 모두를 포함할 수 있다. 금속 함유 촉매층(105)은 Al, Al2O3, AlN, AlON, Al 함유 전구체, Al 함유 합금, CuAl, TiAlN, TaAlN, Ti, TiAlC, TiO2, TiON, TiN, Ti 함유 전구체, Ti 함유 합금, 및 이들의 조합으로 이루어진 그룹으로부터 선택될 수 있다. 금속 함유 촉매층(105)은 기판(1)을 금속 함유 전구체 증기 및 선택적으로 산소 함유 가스 및/또는 질소 함유 가스에 노출시킴으로써 형성될 수 있다. 노광은 기판(1)을 대략 하나의 단층 두께인 금속 함유 촉매층(105)을 흡착하는 금속을 함유하는 가스 펄스에 노출시킴으로써 진행될 수 있다. 일 예에서, 금속 함유 촉매층(105)은 흡착된 금속 함유 전구체, 예들 들어, AlMe3의 층을 포함할 수 있다.
본 발명의 실시예는 매우 다양한 Al 함유 전구체를 이용할 수 있다. 예를 들어, 많은 알루미늄 전구체는 다음과 같은 식을 가진다.
AlL1L2L3Dx
여기서, L1, L2, L3 는 개별 음이온성 리간드(ligand)이고, D는 중성 도너(neutral donor) 리간드이며, x는 0, 1, 또는 2일 수 있다. 각각의 L1, L2, L3 리간드는, 알콕시드, 할라이드, 아릴옥사이드, 아미드, 시클로펜타디엔일, 알킬, 실릴, 아미디네이트, β-디케토네이트, 케토이미네이트, 실라노에이트, 및 카르복실레이트의 그룹으로부터 개별적으로 선택될 수 있다. D 리간드는 에테르, 푸란, 피리딘, 피롤, 피롤리딘, 아민, 크라운 에테르, 글라임(glymes), 및 니트릴의 그룹으로부터 선택될 수 있다.
알루미늄 전구체의 다른 예는, AlMe3, AlEt3, AlMe2H, [Al(OsBu)3]4, Al(CH3COCHCOCH3)3, AlCl3, AlBr3, AlI3, Al(OiPr)3, [Al(NMe2)3]2, Al(iBu)2Cl, Al(iBu)3, Al(iBu)2H, AlEt2Cl, Et3Al2(OsBu)3, 및 Al(THD)3를 포함한다.
본 발명의 실시예는 매우 다양한 Ti 함유 전구체를 이용할 수 있다. 그 예로는 Ti(NEt2)4(TDEAT), Ti(NMeEt)4(TEMAT), Ti(NMe2)4(TDMAT)를 포함하는, "Ti-N" 분자내 결합을 가진 Ti 함유 전구체를 포함한다. 다른 예는 "Ti-C" 분자내 결합을 함유하는 Ti 함유 전구체를 포함하며, Ti 함유 전구체는, Ti(COCH3)(η5-C5H5)2Cl, Ti(η 5-C5H5)Cl2, Ti(η5-C5H5)Cl3, Ti(η5-C5H5)2Cl2, Ti(η5-C5(CH3)5)Cl3, Ti(CH3)(η5-C5H5)2Cl, Ti(η5-C9H7)2Cl2, Ti((η5-C5(CH3)5)2Cl, Ti((η5-C5(CH3)5)2Cl2, Ti(η5-C5H5)2(μ-Cl)2, Ti(η5-C5H5)2(CO)2, Ti(CH3)35-C5H5), Ti(CH3)25-C5H5)2, Ti(CH3)4, Ti(η5-C5H5)(η7-C7H7), Ti(η5-C5H5)(η8-C8H8), Ti(C5H5)25-C5H5)2, Ti((C5H5)2)2(η-H)2, Ti(η5-C5(CH3)5)2, Ti(η5-C5(CH3)5)2(H)2, 및 Ti(CH3)25-C5(CH3)5)2를 포함한다. TiCl4는 "Ti-할로겐" 결합을 함유하는 티타늄 할라이드 전구체의 예이다.
프로세싱 방법은, 금속층(104)으로부터 산화된 금속층(107) 및 금속 함유 촉매층(105)을 제거하는 알콜 용액으로 도 1b의 기판(1)을 처리하는 단계를 더 포함한다. 이는 도 1c에 개략적으로 도시되며, 여기서 금속 함유 촉매층(105)은 유전체 재료(101) 상에만 잔존한다. 본 발명자들은 기판(1)과 접촉하는 알콜 용액이 밑에 있는 금속층(104)을 재산화시키지 않고 산화된 금속층(107)을 효과적으로 리프트 오프시키고, 또한 리프트 오프 프로세스에서 금속 함유 촉매층(105)을 제거하는데, 그 이유는 금속 함유 촉매층(105)이 제거되고 있는 산화된 금속층(107)에 결합되기 때문이다. 일 예에서, 알콜 용액은 실온에서 기판(1)과 접촉될 수 있다. 알콜 용액은 하나 이상의 알콜로 구성될 수 있거나, 또는 대안적으로, 알콜 용액은 하나 이상의 알콜 및 비산화성 용매로 구성될 수 있다. 알콜 용액은 화학식 R-OH를 갖는 임의의 알콜을 함유할 수 있다. 한 종류의 알콜은 1차 알콜이며, 그 중 메탄올과 에탄올이 가장 간단한 멤법이다. 또 다른 종류의 알콜은 2차 알콜, 예를 들어 이소프로필 알콜(IPA)이다.
프로세싱 방법은 또한, SiO2 막(106)을, 금속층(104)이 아니라, 유전체 재료(101) 위의 금속 함유 촉매층(105) 상에 자기 제한적 방식으로 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 프로세스 가스에 기판(1)을 노출시키는 단계를 포함한다. 이는 도 1d에 개략적으로 도시된다.
금속 함유 촉매층(105)은 실라놀 가스로부터 SiO2 막(106)의 선택적인 퇴적을 촉진시키고, 이 촉매 효과는 퇴적된 SiO2 막(106)이 약 15nm 이하가 될 때까지 관찰되고, 기판(1) 상의 촉매 사이트들이 남아 있지 않을 때 SiO2 퇴적이 자동적으로 정지한다. 프로세스 가스에 대한 노출은, 금속층(104) 상에 현저한 SiO2 퇴적을 초래하지 않는 기간 동안 수행될 수 있다. 본 발명의 실시예에 따르면, 기판(1)은 임의의 산화 및 가수분해 작용제의 부재 하에 실라놀 가스를 함유하는 프로세스 가스에 노출된다. 일 예에서, 실라놀 가스는 트리스(삼차(tert)-펜톡시) 실라놀(TPSOL), 트리스(삼차-부톡시) 실라놀, 및 비스(삼차-부톡시)(이소프로폭시) 실라놀로 이루어진 그룹으로부터 선택될 수 있다.
프로세스 가스는 아르곤과 같은 불활성 가스를 더 함유할 수 있다. 일 예에서, 프로세스 가스는 실라놀 가스 및 불활성 가스로 이루어질 수 있다. 기판 온도는 노출 동안에, 대략 150℃ 이하일 수 있다. 다른 실시예에서, 기판 온도는 대략 120℃ 이하일 수 있다. 또 다른 실시예에서, 기판 온도는 대략 100℃ 이하일 수 있다.
본 발명의 실시예에 따르면, 금속 함유 촉매층(105)으로 기판(1)을 코팅하는 단계, 알콜 용액으로 기판(1)을 처리하여 금속층(104)으로부터 금속 함유 촉매층(105)을 제거하는 단계, 및 기판(1)을 실라놀 가스를 함유하는 프로세스 가스에 노출시키는 단계는, SiO2 막(106)의 두께를 증가시키기 위하여 1회 이상 반복될 수 있다. 도 1d에 도시된 바와 같이, SiO2 막(106)은 금속층(104) 위에 완전히 자기 정렬된 비아(112)를 형성한다. 완전히 자기 정렬된 비아(112)들은 또한 구멍(hole) 또는 트렌치로 지칭될 수 있다.
도 2a 내지 도 2e는 본 발명의 실시예에 따라 기판에 유전체 적층 막을 선택적으로 퇴적하는 방법의 개략적인 단면도를 도시한다. 도 1a의 기판(1)은 도 2a의 기판(2)으로서 복제되어 있다. 일 실시예에 따르면, 도 2a의 기판(2)은 기판(2) 상에 자기 조립된 단층(self-assembled monolayer; SAM)을 형성할 수 있는 분자를 함유하는 반응 가스에 노출시킴으로써 전처리된다. 도 2b는 산화된 금속층(107) 상의 SAM(109)("Y")을 개략적으로 도시한다. SAM은 흡착에 의해 기판 표면 상에 자발적으로 형성되는 분자 어셈블리이며, 다소 큰 정렬된 도메인으로 조직화된다. SAM은 헤드 그룹, 테일 그룹, 및 기능성 말단(end) 그룹을 갖는 분자를 포함할 수 있으며, SAM은 상온 위로 또는 상온에서 기체 위상으로부터 기판 위에 헤드 그룹의 화학 흡착에 의해 생성되고, 이어서 테일 그룹의 느린 조직이 후속된다. 초기에, 표면의 작은 분자 밀도에서, 흡착질 분자는 무질서한 분자 덩어리를 형성하거나 배열된 2차원 “라잉 다운 위상(lying down phase)”을 형성하고, 더 높은 분자 범위에서, 수 분에서 수 시간의 기간에 걸쳐, 기판 표면 상에 3차원 결정질 또는 반결정질 구조를 형성하기 시작한다. 헤드 그룹은 기판에서 함께 조립되는 반면에 테일 그룹은 기판으로부터 멀리 떨어져 조립된다.
일 실시예에 따르면, SAM을 형성하는 분자의 헤드 그룹은, 티올, 실란, 또는 포스포네이트를 포함할 수 있다. 실란의 예는 C, H, Cl, F 및 Si 원자, 또는 C, H, Cl 및 Si 원자를 함유하는 분자를 포함한다. 분자의 비제한적인 예는, 퍼플루오로데실트리클로로실란(CF3(CF2)7CH2CH2SiCl3), 퍼플루오로데카네티올(CF3(CF2)7CH2CH2SH), 클로로데실디메틸실란(CH3(CH2)8CH2Si(CH3)2Cl), 및 삼차브틸(클로로)디메틸실란((CH3)3CSi(CH3)2Cl))을 포함한다.
기판(2) 상에 SAM(109)를 형성하는 전처리는, 금속층 표면 또는 산화된 금속층 표면에 대해 유전체 재료 표면 상에 후속적인 선택적 금속 산화물 퇴적을 가능하게 하는데 사용될 수 있다. 이러한 선택적 퇴적은 금속층 표면 및 산화된 금속층 표면 상의 금속 산화물 퇴적을 방지 또는 감소시키면서 유전체 재료 표면 상에 금속 산화물 막을 선택적으로 퇴적하는 방법을 제공한다. 발생가능하게는 산화된 금속층(107) 상에서의 분자의 더 높은 초기 정렬로 인하여, SAM 밀도가 유전체 재료(101)에 비해 산화된 금속층(107)에서 더 크다고 추측된다.
전처리에 이어서, 기판(2)을 퇴적 가스에 노출시킴으로써 산화된 금속층(107)에 대해 유전체 재료(101) 상에 금속 산화물 막(111)이 선택적으로 퇴적된다. 이는 도 2c에 개략적으로 도시되어 있다. 일 예에서, 금속 산화물 막(111)은 HfO2, ZrO2, 또는 Al2O3를 함유할 수 있다. 금속 산화물 막(111)은 예를 들어, ALD 또는 플라즈마 강화된 ALD(PEALD)에 의해 퇴적될 수 있다. 일부 예에서, 금속 산화물 막(111)은, 금속 함유 전구체 및 산화제(예를 들어, H2O, H2O2, 플라즈마 여기된 O2 또는 O3)의 교번하는 노출을 사용하여 ALD에 의해 퇴적될 수 있다.
프로세싱 방법은 금속 산화물 막(111) 상에 선택적으로 SiO2 막(113)을 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 프로세스 가스에 기판(2)을 노출시키는 단계를 더 포함한다. 이는 도 2d에 개략적으로 도시되어 있다. 일 예에서, 금속 산화물 막(111) 및 SiO2 막(113)을 함유하는 라미네이트의 유효 유전 상수는 약 7 미만이다.
본 발명의 실시예에 따르면, 금속 산화물 막(111)은 실라놀 가스로부터 SiO2 막(113)의 선택적인 퇴적을 촉진시키고, 이러한 촉매 효과는 퇴적된 SiO2 막(113)이 약 15nm 이하가 될 때까지 관찰되고, 이어서 SiO2 퇴적이 자동적으로 정지된다. 프로세스 가스에 대한 노출은 산화된 금속층(107) 상에 현저한 SiO2 퇴적을 초래하지 않는 기간 동안에 수행될 수 있다. 본 발명의 실시예에 따르면, 기판(2)은 임의의 산화 및 가수분해 작용제의 부재 하에 실라놀 가스를 함유하는 프로세스 가스에 노출된다. 실라놀 가스는 트리스(삼차-펜톡시) 실라놀(TPSOL), 트리스(삼차-부톡시) 실라놀, 및 비스(삼차-부톡시)(이소프로 폭시) 실라놀로 이루어진 그룹으로부터 선택될 수 있다.
일부 예에서, 프로세스 가스는 아르곤과 같은 불활성 가스를 더 함유할 수 있다. 일 예에서, 프로세스 가스는 실라놀 가스 및 불활성 가스로 이루어질 수 있다. 또한, 일 실시 예에 따르면, 기판 온도는 노광 중에, 대략 150℃ 이하일 수 있다. 다른 실시예에서, 기판 온도는 약 120℃ 이하일 수 있다. 또 다른 실시예에서, 기판 온도는 대략 100℃ 이하일 수 있다.
그 후, 약 300℃ 보다 높은 열처리에 의해, 플라즈마 소스에 의해 여기된 수소 가스(H2)에 노출시킴으로써, 플라즈마 소스에 의해 여기된 산소(O2)에 노출시킴으로써, 또는 이들의 조합에 의해, SAM(109)이 기판(2)으로부터 제거될 수 있다. 도 2e에 도시된 바와 같이, SiO2 막(113) 및 금속 산화물 막(111)은 금속층(104) 위에 완전히 자기 정렬된 비아(114)를 형성한다. 완전히 자기 정렬된 비아(114)는 또한 구멍 또는 트렌치로 지칭될 수 있다.
다른 실시예에 따르면, SAM 전처리는 산화된 금속층(107) 상에 또는 금속층(104) 상에 -H 종단("Y")을 형성하는 플라즈마 소스에 의해 여기된 수소 가스(H2)에 대한 노출로 대체될 수 있다. 이는 도 2b에 개략적으로 도시되어 있다. 일 실시예에 따르면, 기판(2)으로부터 산화된 금속층(107)을 제거하기 위해 수소 가스 노출 전에 화학적 산화물 제거(COR) 프로세스가 수행될 수 있다. COR 프로세스는 기판(2)을 HF 가스 및 NH3 가스에 노출시키고, 열처리를 수행하는 단계를 포함한다. COR 프로세스 후에, 수소 가스 노출은 금속층(104) 상에 -H 종단을 형성한다. 산화된 금속층(107) 또는 금속층(104) 상의 -H 종단은 초기에 이들 층 상에 거의 또는 전혀 막 퇴적이 관찰되지 않는 긴 인큐베이션 기간을 제공할 수 있다. 이와 대조적으로, 인큐베이션 기간이 거의 또는 전혀없는 막 퇴적이 유전체 재료(101)의 수산기 종단된(hydroxyl-terminated)(-OH) 표면에서 관찰된다.
플라즈마 소스에 의해 여기된 수소 가스(H2)에 노출된 후에, 기판(2)은, 금속 산화물 막(111) 상에 라미네이트 SiO2 막(113)을 형성하도록 도 2c 내지 도 2d를 참조하여 전술한 바와 같이 추가로 프로세싱될 수 있다. 일 예에서, 금속 산화물 막(111)은, 유전체 재료(101) 상의 금속 산화물 막(111)의 퇴적 선택도를 향상시키기 위해, 플라즈마 소스에 의해 여기된 수소 가스(H2)에 대한 노출에 의해 주기적으로 중단되는 ALD 프로세스에 의해 퇴적될 수 있다.
도 3은 본 발명의 실시예에 따라 H2 가스로 기판을 전처리하기 위한 RLSATM 플라즈마 시스템을 포함하는 플라즈마 프로세싱 시스템의 개략도이다. 이 도면에 도시된 바와 같이, 플라즈마 프로세싱 시스템(10)은, 플라즈마 프로세싱 챔버(20)(진공 챔버), 안테나 유닛(57), 및 기판 홀더(21)를 포함한다. 플라즈마 프로세싱 챔버(20)의 내부는, 플라즈마 가스 공급 유닛(30) 아래에 위치되는 플라즈마 발생 영역(R1)과, 기판 홀더(21) 측의 플라즈마 확산 영역(R2)으로 대략적으로 구획된다. 플라즈마 발생 영역(R1)에서 발생된 플라즈마는 수 전자 볼트(eV)의 전자 온도를 가질 수 있다. 막 형성 프로세스가 수행되는 플라즈마 확산 영역(R2)으로 플라즈마가 확산될 때, 기판 홀더(21) 부근의 플라즈마의 전자 온도는 약 2eV보다 낮은 값으로 떨어진다. 기판 홀더(21)는 플라즈마 프로세싱 챔버(20)의 바닥부 중앙에 위치하여, 기판(W)을 탑재하기 위한 탑재 유닛으로서 기능한다. 기판 홀더(21) 내에는, 절연 부재(21a), 냉각 재킷(21b), 및 이 도면에 도시되지 않은 기판 온도를 제어하기 위한 온도 제어 유닛이 제공된다.
플라즈마 프로세싱 챔버(20)의 상부는 개방되어 있다. 플라즈마 가스 공급 유닛(30)은 기판 홀더(21)에 대향하여 배치되고, 이 도면에 도시하지 않은 O 링과 같은 밀봉 부재를 통해 플라즈마 프로세싱 챔버(20)의 상부로 밀봉되어 있다. 유전체 창으로도 기능할 수 있는 플라즈마 가스 공급 유닛(30)은, 산화 알루미늄 또는 석영과 같은 재료로 제조되며, 가상 디스크 형상을 가진 평탄한 표면이 기판 홀더(21)와 대면한다. 복수의 가스 공급 구멍(31)은 플라즈마 가스 공급 유닛(30)의 평탄한 표면 상에 기판 홀더(21)에 대향하여 제공된다. 복수의 가스 공급 구멍(31)은, 가스 유로(32)를 통해 플라즈마 가스 공급 포트(33)와 연통한다. 플라즈마 가스 공급 소스(34, 45, 46, 47)은, 플라즈마 가스 공급 포트(33)에 H2 가스 및 Ar 가스와 같은 플라즈마 가스를 제공한다. 그 후, 플라즈마 가스는 복수의 가스 공급 구멍(31)을 통해 플라즈마 발생 영역(R1)에 균일하게 공급된다.
플라즈마 프로세싱 시스템(10)은 플라즈마 발생 영역(R1)과 플라즈마 확산 영역(R2) 사이의 플라즈마 프로세싱 챔버(20)의 실질적으로 중앙에 위치된 프로세스 가스 공급 유닛(40)을 더 포함한다. 프로세스 가스 공급 유닛(40)은 마그네슘(Mg) 또는 스테인리스 강을 포함하는 알루미늄 합금과 같은 도전성 재료로 제조되다. 플라즈마 가스 공급 유닛(30)과 유사하게, 프로세스 가스 공급 유닛(40)의 평탄한 표면에는 복수의 가스 공급 구멍(41)이 제공된다. 프로세스 가스 공급 유닛(40)의 평탄한 표면은 기판 홀더(21)에 대향하여 위치되고, 디스크 형상을 갖는다.
플라즈마 프로세싱 챔버(20)는, 플라즈마 프로세싱 챔버(20)의 바닥부에 연결된 배기 라인(26), 배기 라인을 압력 제어기 밸브(28) 및 진공 펌프(29)에 연결하는 진공 라인(27)을 더 포함한다. 압력 제어기 밸브(28)는 플라즈마 프로세싱 챔버(20)에서 원하는 가스 압력을 달성하는데 사용될 수 있다.
프로세스 가스 공급 유닛(40)의 평면도가 도 4에 도시되어 있다. 이 도면에 도시된 바와 같이, 프로세스 가스 공급 유닛(40) 내에는 샤워 플레이트라고도 불리는 격자 형상의 가스 유로(42)가 형성되어 있다. 격자 형상의 가스 유로(42)는 수직 방향으로 형성된 복수의 가스 공급 구멍(41)의 상단부와 연통한다. 복수의 가스 공급 구멍(41)의 하단부는 기판 홀더(21)에 대향하는 개구이다. 복수의 가스 공급 구멍(41)은 격자 형상의 가스 유로(42)를 통해 프로세스 가스 공급 포트(43)와 연통한다.
또한, 복수의 개구(44)가 프로세스 가스 공급 유닛(40)을 수직 방향으로 관통하도록, 복수의 개구(44)가 프로세스 가스 공급 유닛(40)에 형성된다. 복수의 개구(44)는 기판 홀더(21) 측의 플라즈마 확산 영역(R2)에, 플라즈마 가스, 예를 들어 H2 가스 그리고 선택적으로 Ar 가스를 통과시킨다. 도 4에 도시된 바와 같이, 복수의 개구(44)는 인접한 가스 유로(42) 사이에 형성된다. 프로세스 가스는 예를 들어, 별개의 프로세스 가스 공급 소스(45, 46)로부터 프로세스 가스 공급 포트(43)에 공급된다. 일부 실시예에 따르면, H2 및 Ar의 임의의 조합이 프로세스 가스 공급 유닛(40) 및 또는 플라즈마 가스 공급 포트(33)를 통해 흐를 수 있다. 또한, 예를 들어, 복수의 개구(44)는 기판(W)의 주변 에지를 넘어 연장되는 프로세스 가스 공급 유닛(40) 상의 영역을 차지할 수 있다.
프로세스 가스는 격자 형상 가스 유로(42)를 통해 흐르고, 복수의 가스 공급 구멍(41)을 통해 플라즈마 확산 영역(R2) 내로 균일하게 공급된다. 플라즈마 프로세싱 시스템(10)은 플라즈마 프로세싱 챔버(20)로의 가스의 공급을 각각 제어하기 위한 4개의 밸브(V1-V4) 및 4개의 유량 제어기(MFC1-MFC4)를 더 포함한다.
외부 마이크로파 발생기(55)는 동축 도파관(54)을 통해 미리 결정된 주파수, 예를 들어 2.45 GHz의 마이크로파 신호(또는 마이크로파 에너지)를 안테나 유닛(57)에 제공한다. 동축 도파관(54)은 내부 도체(54B) 및 외부 도체(54A)를 포함할 수 있다. 마이크로파 발생기(55)로부터의 마이크로파는, 플라즈마 발생 영역(R1)에서, 플라즈마 가스 공급 유닛(30) 바로 아래에 전계를 발생시키고, 이는 차례로 플라즈마 프로세싱 챔버(20) 내에서 프로세스 가스의 여기를 야기한다.
도 5는 안테나 유닛(57)의 부분 횡단면도를 도시한다. 이 도면에 도시된 바와 같이, 안테나 유닛(57)은 평평한 안테나 본체(51), 방사형 라인 슬롯 플레이트(52), 및 마이크로파의 파장을 단축시키는 유전체 플레이트(53)를 포함할 수 있다. 평평한 안테나 본체(51)는 개방된 바닥 표면을 갖는 원형 형상을 갖는다. 방사형 라인 슬롯 플레이트(52)는 평평한 안테나 본체(51)의 개방형(open-ended) 바닥 표면을 폐쇄하도록 형성된다. 평평한 안테나 본체(51) 및 방사형 라인 슬롯 플레이트(52)는 평평한 중공 원형 도파관을 갖는 도전성 재료로 제조된다.
원 편파를 발생시키기 위해 방사형 라인 슬롯 플레이트(52)에는 복수의 슬롯(56)이 제공된다. 복수의 슬롯(56)은 원주 방향을 따라 동심원 패턴 또는 나선형 패턴으로, 그 사이에 약간의 갭을 갖는 실질적으로 T자 형상의 형태로 배열된다. 슬롯(56a, 56b)은 서로 직교하기 때문에, 2개의 직교하는 편파 성분을 포함하는 원 편파가 방사형 라인 슬롯 플레이트(52)로부터 평면파로서 방사된다.
유전체 플레이트(53)은 방사형 라인 슬롯 플레이트(52)와 편평한 안테나 본체(51) 사이에 위치되는 저손실 유전체 재료, 예를 들어 알루미늄 산화물(Al2O3) 또는 실리콘 질화물(Si3N4)로 제조된다. 방사형 라인 슬롯 플레이트(52)는, 상기 방사형 라인 슬롯 플레이트(52)가 커버 플레이트(23)와 밀접하게 접촉하도록 밀봉 부재(도시되지 않음)를 사용하여 플라즈마 프로세싱 챔버(20) 상에 장착된다. 커버 플레이트(23)는 플라즈마 가스 공급 유닛(30)의 상면에 위치되며, 알루미늄 산화물(Al2O3)과 같은 마이크로파 투과 유전체 재료로부터 형성된다.
외부 고주파 전원 소스(22)는 정합 네트워크(25)를 통해 기판 홀더(21)에 전기적으로 연결된다. 외부 고주파 전원 소스(22)는, 기판(W)으로 인출되는 이온 에너지를 제어하기 위해 미리 결정된 주파수 예를 들어 13.56MHz의 RF 바이어스 전력을 생성한다. 전원 소스(22)는 또한, 펄스 주파수가 1Hz보다 클 수 있는(예를 들어 2Hz, 4Hz, 6Hz, 8Hz, 10Hz, 20Hz, 30Hz, 50Hz 이상) RF 바이어스 전력의 펄스를 선택적으로 제공하도록 구성된다. 전원 소스(22)는 RF 바이어스 전력을 공급하도록 구성되며, 상기 RF 바이어스 전력은 0W 내지 100W, 100W 내지 200W, 200W 내지 300W, 300W 내지 400W, 또는 400W 내지 500W일 수 있다. 당업자는 전원 소스(22)의 전력 레벨이 프로세싱되고 있는 기판의 크기와 관련되어 있음을 알 수 있다는 점에 주목하여야 한다. 예를 들어, 300mm Si 웨이퍼는 프로세싱 중에 200mm 웨이퍼보다 더 많은 전력 소비를 필요로 한다. 플라즈마 프로세싱 시스템(10)은 약 -5 kV와 약 +5 kV 사이의 DC 전압 바이어스를 기판 홀더(21)에 공급할 수 있는 DC 전압 발생기(35)를 더 포함한다.
플라즈마 노출 동안에, 플라즈마 가스 공급 유닛(30)을 사용하여 플라즈마 가스, 예를 들어 H2 가스 및 선택적으로 Ar 가스가 플라즈마 프로세싱 챔버(20)로 도입될 수 있다. 한편, 프로세스 가스는 프로세스 가스 공급 유닛(40)을 사용하여 플라즈마 프로세싱 챔버(20)로 도입될 수 있다.
표면 전처리를 사용한 선택적 막 퇴적 방법이 다양한 실시예에 개시되어 있다. 본 발명의 실시예들에 대한 전술한 설명은 예시 및 설명의 목적으로 제시되어 있다. 본 발명을 개시된 정확한 형태로 제한하거나 포괄하고자 하는 것은 아니다. 이 설명 및 이하의 청구범위는 설명의 목적만을 위하여 사용된 용어를 포함하며, 이는 제한적으로 해석되지 않는다. 당업자는 상기 교시를 고려하여 많은 수정 및 변형이 가능함을 알 수 있다. 당업자는 도면들에 도시된 다양한 구성 요소들에 대한 다양한 등가 조합 및 대체물을 인식할 것이다. 따라서, 본 발명의 범위는 이 상세한 설명에 의해서가 아니라 오히려 본 명세서에 첨부된 청구항들에 의해 제한되는 것으로 의도된다.

Claims (20)

  1. 기판 프로세싱 방법에 있어서,
    유전체 재료 및 금속층을 포함하는 기판을 제공하는 단계 - 상기 금속층은 그 위에 산화된 금속층을 가짐 - 와,
    상기 기판을 금속 함유 촉매층으로 코팅하는 단계와,
    알콜 용액으로 상기 기판을 처리하여, 상기 산화된 금속층 상의 금속 함유 촉매층과 함께 상기 금속층으로부터 상기 산화된 금속층을 제거하는 단계와,
    유전체 재료 상의 금속 함유 촉매층 상에 SiO2 막을 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 프로세스 가스에 기판을 노출시키는 단계
    를 포함하는 기판 프로세싱 방법.
  2. 제1항에 있어서, 상기 금속층은 Cu, Ru, Co, 또는 W를 함유하고, 상기 산화된 금속층은 산화된 Cu, 산화된 Ru, 산화된 Co, 또는 산화된 W를 함유하는 것인 기판 프로세싱 방법.
  3. 제1항에 있어서, 상기 금속 함유 촉매층은, Al, Ti, 또는 Al 및 Ti 양자 모두를 포함하는 것인 기판 프로세싱 방법.
  4. 제1항에 있어서, 상기 기판을 상기 실라놀 가스를 함유하는 프로세스 가스에 노출시키는 단계는, 대략 150℃ 이하의 기판 온도에서 임의의 산화 및 가수 분해 작용제의 부재 하에 수행되는 것인 기판 프로세싱 방법.
  5. 제1항에 있어서, 상기 실라놀 가스는 트리스(삼차(tert)-펜톡시) 실라놀, 트리스(삼차-부톡시) 실라놀, 및 비스(삼차-부톡시)(이소프로폭시) 실라놀로 이루어진 그룹으로부터 선택되는 것인 기판 프로세싱 방법.
  6. 제1항에 있어서,
    유전체 재료 상의 SiO2 막의 두께를 증가시키기 위하여, 상기 기판을 코팅하는 단계, 알콜 용액으로 상기 기판을 처리하는 단계, 및 실라놀 가스를 함유하는 프로세스 가스에 상기 기판을 노출시키는 단계를, 적어도 1회 반복하는 단계를 더 포함하는 기판 프로세싱 방법.
  7. 기판 프로세싱 방법으로서,
    유전체 재료 및 금속층을 포함하는 기판을 제공하는 단계 - 상기 금속층은 그 위에 산화된 금속층을 포함함 - 와,
    상기 기판 상에 자기 조립된 단층(self-assembled monolayer; SAM)을 형성하는 분자를 함유하는 반응 가스에 상기 기판을 노출시키는 단계와,
    상기 기판을 퇴적 가스에 노출시킴으로써 상기 산화된 금속층에 관련된 상기 유전체 재료 상에 금속 산화물 막을 선택적으로 퇴적하는 단계와,
    상기 금속 산화물 막 상에 SiO2 막을 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 프로세스 가스에 상기 기판을 노출시키는 단계
    를 포함하는 기판 프로세싱 방법.
  8. 제7항에 있어서, 상기 분자는 헤드 그룹, 테일(tail) 그룹, 및 기능성 말단(end) 그룹을 포함하고, 상기 헤드 그룹은, 티올, 실란, 또는 포스포네이트를 포함하는 것인 기판 프로세싱 방법.
  9. 제7항에 있어서, 상기 분자는, 퍼플루오로데실트리클로로실란(CF3(CF2)7CH2CH2SiCl3), 퍼플루오로데카네티올(CF3(CF2)7CH2CH2SH), 클로로데실디메틸실란(CH3(CH2)8CH2Si(CH3)2Cl), 또는 삼차브틸(클로로)디메틸실란((CH3)3CSi(CH3)2Cl))을 포함하는 것인 기판 프로세싱 방법.
  10. 제7항에 있어서, 상기 금속 산화물 막은, HfO2, ZrO2, 또는 Al2O3를 함유하는 것인 기판 프로세싱 방법.
  11. 제7항에 있어서, 상기 실라놀 가스를 함유하는 프로세스 가스에 상기 기판을 노출시키는 단계는, 대략 150℃ 이하의 기판 온도에서 임의의 산화 및 가수분해 작용제의 부재 하에 수행되는 것인 기판 프로세싱 방법.
  12. 제7항에 있어서, 상기 실라놀 가스는, 트리스(삼차-펜톡시) 실라놀, 트리스(삼차-부톡시) 실라놀, 및 비스(삼차-부톡시)(이소프로폭시) 실라놀로 이루어진 그룹으로부터 선택되는 것인 기판 프로세싱 방법.
  13. 제7항에 있어서,
    상기 유전체 재료 상에 금속 산화물 막 및 SiO2 막의 두께를 증가시키기 위하여, 상기 기판을 반응 가스에 노출시키는 단계와, 금속 산화물 막을 선택적으로 퇴적하는 단계와, 실라놀 가스를 함유하는 프로세스 가스에 상기 기판을 노출시키는 단계를 적어도 1회 반복하는 단계를 더 포함하는 기판 프로세싱 방법.
  14. 기판 프로세싱 방법으로서,
    유전체 재료 및 금속층을 포함하는 기판을 제공하는 단계 - 상기 금속층은 그 위에 산화된 금속층을 가짐 - 와,
    플라즈마 소스에 의해 여기된 수소(H2) 가스에 상기 기판을 노출시키는 단계와,
    상기 기판을 퇴적 가스에 노출시킴으로써 상기 유전체 재료 상에 금속 산화물 막을 선택적으로 퇴적하는 단계와,
    상기 금속 산화물 막 상에 SiO2 막을 선택적으로 퇴적하는 기간 동안에 실라놀 가스를 함유하는 프로세스 가스에 상기 기판을 노출시키는 단계
    를 포함하는 기판 프로세싱 방법.
  15. 제14항에 있어서, 상기 플라즈마 소스에 의해 여기된 수소 가스에 상기 기판을 노출시키는 단계는, 상기 산화된 금속층 상에 수소 종단(hydrogen-termination)을 형성하는 것인 기판 프로세싱 방법.
  16. 제14항에 있어서, 상기 금속층으로부터 상기 산화된 금속층을 제거하는 단계와,
    상기 기판을 퇴적 가스에 노출시킴으로써 상기 금속층과 관련된 상기 유전체 재료 상에 상기 금속 산화물 막을 선택적으로 퇴적하는 단계
    를 더 포함하는 기판 프로세싱 방법.
  17. 제14항에 있어서, 상기 제거하는 단계는, 화학적 산화물 제거(chemical oxide removal; COR) 프로세스를 포함하는 것인 기판 프로세싱 방법.
  18. 제14항에 있어서, 상기 금속 산화물 막은, HfO2, ZrO2, 또는 Al2O3를 함유하는 것인 기판 프로세싱 방법.
  19. 제14항에 있어서, 상기 실라놀 가스를 함유하는 프로세스 가스에 상기 기판을 노출시키는 단계는, 대략 150℃ 이하의 기판 온도에서 임의의 산화 및 가수분해 작용제의 부재 하에 수행되는 것인 기판 프로세싱 방법.
  20. 제14항에 있어서, 상기 실라놀 가스는, 트리스(삼차-펜톡시) 실라놀, 트리스(삼차-부톡시) 실라놀, 및 비스(삼차-부톡시)(이소프로폭시) 실라놀로 이루어진 그룹으로부터 선택되는 것인 기판 프로세싱 방법.
KR1020180143516A 2017-11-20 2018-11-20 완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법 KR102523731B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220116934A KR102549289B1 (ko) 2017-11-20 2022-09-16 완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762588855P 2017-11-20 2017-11-20
US62/588,855 2017-11-20
US201862685847P 2018-06-15 2018-06-15
US62/685,847 2018-06-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220116934A Division KR102549289B1 (ko) 2017-11-20 2022-09-16 완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법

Publications (2)

Publication Number Publication Date
KR20190058342A true KR20190058342A (ko) 2019-05-29
KR102523731B1 KR102523731B1 (ko) 2023-04-19

Family

ID=66533236

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020180143516A KR102523731B1 (ko) 2017-11-20 2018-11-20 완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법
KR1020180143517A KR102491746B1 (ko) 2017-11-20 2018-11-20 완전히 자기 정렬된 비아를 형성하기 위한 선택적 막 퇴적 방법
KR1020220116934A KR102549289B1 (ko) 2017-11-20 2022-09-16 완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020180143517A KR102491746B1 (ko) 2017-11-20 2018-11-20 완전히 자기 정렬된 비아를 형성하기 위한 선택적 막 퇴적 방법
KR1020220116934A KR102549289B1 (ko) 2017-11-20 2022-09-16 완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법

Country Status (4)

Country Link
US (3) US10847363B2 (ko)
JP (2) JP7287770B2 (ko)
KR (3) KR102523731B1 (ko)
TW (3) TW202328473A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210035750A (ko) * 2019-09-24 2021-04-01 도쿄엘렉트론가부시키가이샤 성막 방법
KR20210084302A (ko) * 2019-12-27 2021-07-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
KR20200137016A (ko) * 2018-04-27 2020-12-08 도쿄엘렉트론가부시키가이샤 접촉이 향상된 캡 층 형성용 영역 선택적 증착
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20220020834A (ko) 2019-06-12 2022-02-21 도쿄엘렉트론가부시키가이샤 반도체 디바이스의 평탄화
KR20220034785A (ko) * 2019-07-18 2022-03-18 도쿄엘렉트론가부시키가이샤 영역 선택적 증착에서 측면 필름 성장의 완화 방법
JP2021052069A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP7262354B2 (ja) * 2019-09-24 2023-04-21 東京エレクトロン株式会社 成膜方法
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US20210134669A1 (en) * 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for metal interconnect
KR20220113444A (ko) 2019-12-10 2022-08-12 도쿄엘렉트론가부시키가이샤 희생 캡핑 층으로서의 자가-조립된 모노층
JP7365898B2 (ja) * 2019-12-27 2023-10-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US20210398846A1 (en) * 2020-06-17 2021-12-23 Tokyo Electron Limited Method for area selective deposition using a surface cleaning process
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US20220254630A1 (en) * 2021-02-08 2022-08-11 Tokyo Electron Limited Liquid phase conformal silicon oxide spin-on deposition
WO2022210351A1 (ja) * 2021-03-31 2022-10-06 東京エレクトロン株式会社 膜形成方法及び基板処理装置
JP2023182324A (ja) * 2022-06-14 2023-12-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2024019774A (ja) * 2022-08-01 2024-02-14 東京エレクトロン株式会社 成膜方法および成膜装置
CN115418629B (zh) * 2022-08-17 2024-01-12 杭州富芯半导体有限公司 薄膜沉积的方法
JP2024049188A (ja) * 2022-09-28 2024-04-09 東京エレクトロン株式会社 膜形成方法及び基板処理装置
JP2024081396A (ja) * 2022-12-06 2024-06-18 東京エレクトロン株式会社 成膜方法及び成膜装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP2010010686A (ja) * 2008-06-27 2010-01-14 Asm America Inc 高成長率の二酸化ケイ素の堆積
JP2015149434A (ja) * 2014-02-07 2015-08-20 ウシオ電機株式会社 パターン形成体の製造方法
US20160172189A1 (en) * 2014-12-15 2016-06-16 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
KR20170109588A (ko) * 2015-02-09 2017-09-29 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 박막의 선택적인 측방향 성장
US20170294339A1 (en) * 2016-04-12 2017-10-12 Tokyo Electron Limited METHODS FOR SiO2 FILLING OF FINE RECESSED FEATURES AND SELECTIVE SiO2 DEPOSITION ON CATALYTIC SURFACES

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3469251B2 (ja) * 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JP4448356B2 (ja) 2004-03-26 2010-04-07 富士通株式会社 半導体装置およびその製造方法
MXPA06014584A (es) 2004-06-22 2007-12-04 Sunnen Products Co Aparato y sistema de recorrido de servo.
US20060073276A1 (en) 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
CN101646468A (zh) * 2006-10-10 2010-02-10 西洛诺瓦生物科学公司 包含硅酮和特定聚磷氮烯的组合物和装置
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP2010041038A (ja) 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
JP5310283B2 (ja) 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
US8907881B2 (en) * 2010-04-09 2014-12-09 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and method for driving the same
WO2012083258A2 (en) * 2010-12-16 2012-06-21 Sensor Innovations, Inc. Electrochemical sensors
JP2016536452A (ja) 2013-10-15 2016-11-24 ビーコ・エーエルディー インコーポレイテッド 種前駆体を用いる高速原子層堆積プロセス
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
GB201415119D0 (en) * 2014-08-27 2014-10-08 Ibm Method for fabricating a semiconductor structure
US20160064275A1 (en) 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
WO2016138284A1 (en) * 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9981286B2 (en) * 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10068764B2 (en) 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US10453749B2 (en) 2017-02-14 2019-10-22 Tokyo Electron Limited Method of forming a self-aligned contact using selective SiO2 deposition
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
US10468585B1 (en) * 2018-05-31 2019-11-05 International Business Machines Corporation Dual function magnetic tunnel junction pillar encapsulation

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP2010010686A (ja) * 2008-06-27 2010-01-14 Asm America Inc 高成長率の二酸化ケイ素の堆積
JP2015149434A (ja) * 2014-02-07 2015-08-20 ウシオ電機株式会社 パターン形成体の製造方法
US20160172189A1 (en) * 2014-12-15 2016-06-16 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate
KR20170109588A (ko) * 2015-02-09 2017-09-29 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 박막의 선택적인 측방향 성장
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US20170294339A1 (en) * 2016-04-12 2017-10-12 Tokyo Electron Limited METHODS FOR SiO2 FILLING OF FINE RECESSED FEATURES AND SELECTIVE SiO2 DEPOSITION ON CATALYTIC SURFACES

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210035750A (ko) * 2019-09-24 2021-04-01 도쿄엘렉트론가부시키가이샤 성막 방법
KR20210084302A (ko) * 2019-12-27 2021-07-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램

Also Published As

Publication number Publication date
KR20190058343A (ko) 2019-05-29
US10586734B2 (en) 2020-03-10
JP2019096877A (ja) 2019-06-20
US10847363B2 (en) 2020-11-24
TW201930625A (zh) 2019-08-01
KR20220132493A (ko) 2022-09-30
JP2019096881A (ja) 2019-06-20
US20210074584A1 (en) 2021-03-11
KR102523731B1 (ko) 2023-04-19
US11658068B2 (en) 2023-05-23
TW201930626A (zh) 2019-08-01
KR102549289B1 (ko) 2023-06-29
TWI788463B (zh) 2023-01-01
TWI798290B (zh) 2023-04-11
US20190157149A1 (en) 2019-05-23
TW202328473A (zh) 2023-07-16
JP7287770B2 (ja) 2023-06-06
JP7193990B2 (ja) 2022-12-21
KR102491746B1 (ko) 2023-01-25
US20190164749A1 (en) 2019-05-30

Similar Documents

Publication Publication Date Title
KR102549289B1 (ko) 완전히 자기 정렬된 비아를 형성하기 위한 선택적 퇴적 방법
TWI674628B (zh) 氮化鈦移除
US10465294B2 (en) Oxide and metal removal
KR101921336B1 (ko) 융기 특징부 상에 고도의 형상적응 비정질 카본 필름의 증착 방법
US9659791B2 (en) Metal removal with reduced surface roughness
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
US20160172189A1 (en) Method of selective gas phase film deposition on a substrate
JP4503356B2 (ja) 基板処理方法および半導体装置の製造方法
US20090152686A1 (en) Film Forming Method for Dielectric Film
JP6025735B2 (ja) マイクロ波プラズマを用いる誘電膜堆積方法
KR102018432B1 (ko) 성막 방법
TW200834733A (en) Semiconductor device and method for manufacturing the same
JP3174438B2 (ja) プラズマcvd方法
JP7279200B2 (ja) 成膜方法及び成膜システム
US20230105408A1 (en) Hexagonal boron nitride deposition
TW202407758A (zh) 使用體積膨脹的大面積間隙填充
TW202144608A (zh) 硼及碳膜之催化形成
TW202321495A (zh) 選擇性石墨烯沉積
KR20240055067A (ko) 방향성 선택적 증착

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant