KR20180113118A - 반도체 소자 - Google Patents

반도체 소자 Download PDF

Info

Publication number
KR20180113118A
KR20180113118A KR1020170044400A KR20170044400A KR20180113118A KR 20180113118 A KR20180113118 A KR 20180113118A KR 1020170044400 A KR1020170044400 A KR 1020170044400A KR 20170044400 A KR20170044400 A KR 20170044400A KR 20180113118 A KR20180113118 A KR 20180113118A
Authority
KR
South Korea
Prior art keywords
gate
source
nanosheets
nanosheet
drain
Prior art date
Application number
KR1020170044400A
Other languages
English (en)
Other versions
KR102400558B1 (ko
Inventor
조남규
권태순
임보라
이정윤
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170044400A priority Critical patent/KR102400558B1/ko
Priority to US15/823,961 priority patent/US10522616B2/en
Priority to CN201711321445.XA priority patent/CN108695377B/zh
Publication of KR20180113118A publication Critical patent/KR20180113118A/ko
Application granted granted Critical
Publication of KR102400558B1 publication Critical patent/KR102400558B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

본 발명의 의한 반도체 소자는 기판으로부터 돌출되고 제1 방향으로 연장되는 핀형 활성 영역; 상기 핀형 활성 영역의 상면으로부터 이격된 위치에서 상기 핀형 활성 영역의 상면과 대면하고 채널 영역을 가지는 적어도 하나의 나노시트; 상기 핀형 활성 영역 상에서 상기 제1 방향과 교차하는 제2 방향으로 연장되고 상기 나노시트의 적어도 일부를 포위하는 게이트; 상기 나노시트의 양측의 상기 핀형 활성 영역 상에 배치된 소스/드레인 영역; 및 상기 나노시트의 일측벽에 위치하고 상기 소스/드레인 영역과 상기 나노시트들 사이에 배치된 소스/드레인 보호층을 포함한다.

Description

반도체 소자{semiconductor device}
본 발명의 기술적 사상은 반도체 소자에 관한 것으로, 보다 상세하게는 MOSFET(metal-oxide-semiconductor field-effect transistor)를 구비한 반도체 소자에 관한 것이다.
전자 기술의 발달로 인해, 반도체 소자의 사이즈(크기) 감소, 즉 다운-스케일링(down-scaling)이 급속도로 진행되고 있다. 다운 스케일링된 반도체 소자는 성능을 향상시키기 위해서는 기생 커패시턴스를 줄여야 한다. 이에 따라, 다운 스케일링된 반도체 소자는 기생 커패시턴스를 줄이기 위한 최적화된 소자 구조나 소자 제조 방법이 요구된다.
본 발명의 기술적 사상이 해결하고자 하는 과제는 기생 커패시턴스를 줄이기 위한 최적화된 구조를 갖는 반도체 소자를 제공하는 데 있다.
상술한 과제를 해결하기 위하여 본 발명의 기술적 사상의 일 실시예에 의한 반도체 소자는 기판으로부터 돌출되고 제1 방향으로 연장되는 핀형 활성 영역; 상기 핀형 활성 영역의 상면으로부터 이격된 위치에서 상기 핀형 활성 영역의 상면과 대면하고 채널 영역을 가지는 적어도 하나의 나노시트; 상기 핀형 활성 영역 상에서 상기 제1 방향과 교차하는 제2 방향으로 연장되고 상기 나노시트의 적어도 일부를 포위하는 게이트; 상기 나노시트의 양측의 상기 핀형 활성 영역 상에 배치된 소스/드레인 영역; 및 상기 나노시트의 일측벽에 위치하고 상기 소스/드레인 영역과 상기 나노시트들 사이에 배치된 소스/드레인 보호층을 포함한다.
본 발명의 기술적 사상의 일 실시예에 의한 반도체 소자는 활성 영역을 가지는 기판; 상기 활성 영역의 상면으로부터 이격된 위치에서 상기 상면과 대면하고 각각 채널 영역을 가지는 복수의 나노시트들을 포함하는 적어도 하나의 나노시트 적층 구조물; 상기 활성 영역 상에서 상기 활성 영역과 교차하는 방향으로 연장되고 상기 적어도 하나의 나노시트 적층 구조물을 덮되, 상기 적어도 하나의 나노시트 적층 구조물 위의 메인 게이트 부분과 상기 복수의 나노시트들 각각의 하측에 배치되는 서브 게이트 부분을 포함하는 게이트; 상기 적어도 하나의 나노시트 적층 구조물과 상기 게이트 사이에 개재된 게이트 절연막; 상기 나노시트 적층 구조물들의 양측의 상기 활성 영역 상에 배치된 소스/드레인 영역; 상기 복수의 나노시트들 위에서 상기 메인 게이트의 측벽을 덮는 외부 스페이서; 상기 소스/드레인 영역과 상기 게이트 사이, 및 상기 나노시트들 사이에 개재되는 내부 스페이서; 및 상기 나노시트 적층 구조물들의 일측벽에 위치하고 상기 소스/드레인 영역과 상기 나노시트 적층 구조물들 사이에 배치된 소스/드레인 보호층을 포함한다.
본 발명의 기술적 사상에 의한 반도체 소자는 기판으로부터 돌출되고 제1 방향으로 연장되는 핀형 활성 영역; 상기 핀형 활성 영역의 상면으로부터 이격된 위치에서 상기 핀형 활성 영역의 상면과 평행하게 서로 이격되어 복수개 적층되어 있고 각각 채널 영역을 가지는 복수의 나노시트들; 상기 핀형 활성 영역 상에서 상기 제1 방향과 교차하는 제2 방향으로 연장되고 상기 복수의 나노시트들 각각의 적어도 일부를 포위하되, 상기 나노시트의 위의 메인 게이트 부분과, 상기 나노시트 및 상기 핀형 활성 영역의 사이에 위치하는 복수의 서브 게이트 부분들을 포함하는 게이트; 상기 나노시트와 상기 게이트 사이에 개재된 게이트 절연막; 상기 나노시트들의 양측의 상기 핀형 활성 영역 상에 배치된 소스/드레인 영역; 상기 소스/드레인 영역과 상기 서브 게이트 부분 사이, 및 상기 나노시트들 사이에 형성된 내부 스페이서; 및 상기 나노시트들의 일측벽에 위치하고 상기 소스/드레인 영역과 상기 나노시트들 사이에 배치된 소스/드레인 보호층을 포함한다.
본 발명의 기술적 사상의 반도체 소자는 복수의 나노시트들 각각의 사이의 공간에는 소스/드레인 영역에 접하는 내부 스페이서를 포함하여 게이트와 소스/드레인 영역간의 기생 커패시턴스를 낮출 수 있다.
본 발명의 기술적 사상의 반도체 소자는 복수의 나노시트들의 일측벽에 소스/드레인 영역과 나노시트들 사이에 소스/드레인 보호층이 형성되어 있다. 소스/드레인 보호층은 반도체 소자의 제조시 소스/드레인 영역의 손상을 방지할 수 있다.
도 1은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 평면 레이아웃도이다.
도 2는 도 1의 X - X'선 단면도이다.
도 3은 도 1의 Y - Y'선 단면도이다.
도 4a는 도 2의 IVA의 확대도이고, 도 4b는 도 4a의 IVB의 확대도이다.
도 5a 내지 도 5d는 본 발명의 기술적 사상의 일 실시예에 따라 반도체 소자의 내부 스페이서 및 소스/드레인 보호층의 확대도이다.
도 6은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 단면도이다.
도 7 내지 도 19는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 20a 및 도 20b는 본 발명의 기술적 사상의 일 실시예에 의해 내부 스페이서 및 소스/드레인 보호층의 형성 방법을 설명하기 위한 단면도들이다.
도 21a 및 도 21b는 본 발명의 기술적 사상의 일 실시예에 의해 내부 스페이서 및 소스/드레인 보호층의 형성 방법을 설명하기 위한 단면도들이다.
도 22는 본 발명의 기술적 사상에 의한 일 실시예에 따른 전자 소자의 블록 다이어그램이다.
도 23은 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자를 포함하는 전자 시스템의 블록 다이어그램이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 이하의 본 발명의 실시예들은 어느 하나로만 구현될 수도 있고, 또한, 이하의 실시예들은 하나 이상을 조합하여 구현될 수도 있다. 따라서, 본 발명의 기술적 사상을 하나의 실시예에 국한하여 해석되지는 않는다.
도 1은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 평면 레이아웃도이고, 도 2는 도 1의 X - X'선 단면도이고, 도 3은 도 1의 Y - Y'선 단면도이다.
구체적으로, 본 발명의 기술적 사상의 반도체 소자는 집적 회로 반도체 소자일 수 있다. 본 발명의 기술적 사상의 반도체 소자는 멀티 브릿지 채널(multi-bridge channel) FET(field effect transistor)를 포함할 수 있다. 반도체 소자(100)는 기판(102)으로부터 돌출됨과 아울러 제1 방향(X 방향)으로 연장되고 제2 방향으로 서로 떨어져 위치하는 복수개의 핀형 활성 영역들(FA, Fin type active regions)과, 핀형 활성 영역(FA)의 상면(104)으로부터 이격된 위치에서 핀형 활성 영역(FA)의 상면(104)과 대면하는 복수의 나노시트 적층 구조물들(NSS, nano-sheet stack structures)을 포함한다. 나노시트 적층 구조물들(NSS)은 제1 방향(X 방향) 및 제2 방향(Y 방향)으로 서로 떨어져 위치한다.
기판(102)에는 핀형 활성 영역(FA)을 한정하는 제1 트렌치(T1, first trench)와, 소자 영역(DR)을 한정하는 제2 트렌치(T2)가 형성될 수 있다. 제2 트렌치(T2)는 제1 트렌치(T1)보다 더 깊게 형성될 수 있다. 핀형 활성 영역(FA)의 하부 측벽은 제1 트렌치(T1)를 채우는 STI(shallow trench isolation)막(114)으로 덮일 수 있다.
STI막(114)은 제1 트렌치(T1)의 내벽을 컨포멀하게 덮는 트렌치 절연 라이너(114A, trench insulating liner)와, 트렌치 절연 라이너(114A) 위에서 제1 트렌치(T1)를 채우는 갭필 절연층(114B, gap fill insulating layer)을 포함할 수 있다. 제2 트렌치(T2)는 소자분리막(116, isolation layer)으로 채워질 수 있다. 핀형 활성 영역(FA)의 상면(104)의 레벨, STI막(114)의 상면의 레벨, 및 소자분리막(116)의 상면의 레벨은 서로 동일하거나 유사할 수 있다.
일 실시예에서, 제2 트렌치(T2)를 채우는 소자분리막(116)은 산화막, 질화막, 또는 이들의 조합으로 이루어질 수 있다. 일 실시예에서, 소자분리막(116) 및 갭필 절연막(114B)은 동일한 물질로 이루어질 수 있다.
복수의 핀형 활성 영역들(FA) 상에는 제1 방향(X방향)에 교차하는 제2 방향(Y 방향)으로 연장되고 제1 방향으로 서로 떨어져 위치하는 복수의 게이트들(150)이 형성되어 있다. 게이트(150)는 핀형 활성 영역(FA)의 연장 방향(X 방향)을 따라 게이트 구조물 공간(도 17의 SP6)의 폭(W6)과 동일한 게이트 길이를 가질 수 있다.
핀형 활성 영역(FA)은 제1 레벨(LV1)의 상면(104)을 가진다. 나노시트 적층 구조물(NSS)은 핀형 활성 영역(FA)의 상면(104)으로부터 이격되어 있다. 나노시트 적층 구조물(NSS)은 기판(102)으로부터 제1 레벨(LV1)보다 높은 제2 레벨(LV2) 상에서 핀형 활성 영역(FA)의 상면과 평행하게 서로 이격되는 복수의 나노시트들(N1, N2, N3)을 포함할 수 있다. 나노시트들(N1, N2, N3)은 다양한 형태, 예컨대 나노와이어들로 변경될 수 있다.
본 실시예에서는 1개의 핀형 활성 영역(FA) 위에 복수의 나노시트 적층 구조물들(NSS) 및 복수의 게이트들(150)이 형성되고, 1개의 핀형 활성 영역(FA) 위에서 복수의 나노시트 적층 구조물들(NSS)이 핀형 활성 영역(FA)의 연장 방향(X 방향)을 따라 일렬로 배치되어 있는 구성을 예시하였다. 그러나, 본 발명의 기술적 사상은 예시된 바에 한정되지 않는다. 1개의 핀형 활성 영역(FA) 위에 배치되는 나노시트 적층 구조물(NSS)의 수는 특별히 제한되는 것은 아니다. 예를 들면, 1개의 핀형 활성 영역(FA) 위에 1개의 나노시트 적층 구조물(NSS)이 형성될 수도 있다.
나노시트 적층 구조물(NSS)을 구성하는 나노시트들(N1, N2, N3)은 복수의 핀형 활성 영역들(FA)의 상면(104) 위에 하나씩 차례로 배치되어 있다. 본 실시예에서, 하나의 나노시트 적층 구조물(NSS)이 3개의 나노시트들(N1, N2, N3)을 포함하는 경우를 예시하였으나, 본 발명의 기술적 사상은 예시한 바에 한정되지 않는다. 예를 들면, 반도체 소자(100)는 3개의 나노시트들(N1, N2, N3)이 아니고 1개의 나노시트를 포함할 수도 있다. 반도체 소자(100)는 필요에 따라서 다양하게 선택되는 복수의 나노시트들을 포함할 수도 있다. 복수의 나노시트들(N1, N2, N3)은 각각 채널 영역을 가질 수 있다.
게이트(150)는 도 3에 도시한 바와 같이 나노시트 적층 구조물(NSS)을 덮으면서 복수의 나노시트들(N1, N2, N3)의 적어도 일부를 포위하도록 형성될 수 있다. 게이트(150)는 나노시트 적층 구조물(NSS)의 상면을 덮는 메인 게이트 부분(150M)과, 메인 게이트 부분(150M)에 연결되고 핀형 활성 영역(FA)과 나노시트들(N1, N2, N3)과의 사이의 공간에 형성되는 복수의 서브 게이트 부분들(150S)을 포함할 수 있다.
일 실시예에서, 복수의 서브 게이트 부분들(150S) 각각의 두께는 메인 게이트 부분(150M)의 두께보다 더 작을 수 있다. 일 실시예에서, 복수의 서브 게이트 부분들(150S)중 최하단의 서브 게이트 부분(150S)의 두께는, 나머지 서브 게이트 부분(150S)의 두께보다 두꺼울 수 있다. 여기서, 복수의 서브 게이트 부분들(150S)의 두께 및 메인 게이트 부분(150M)의 두께는 각각 도 1 내지 도 3에서 Z방향을 따르는 크기를 의미한다.
나노시트 적층 구조물(NSS)과 게이트(150) 사이에는 게이트 절연막(145, 또는 게이트 유전막)이 형성되어 있다. 복수의 나노시트들(N1, N2, N3)은 핀형 활성 영역(FA)과 게이트(150) 사이의 공간중 게이트(150)로 덮이는 오버랩 영역(OR)에 형성될 수 있다. X-Y 평면에서, 복수의 나노시트들(N1, N2, N3)을 포함하는 나노시트 적층 구조물(NSS)은 오버랩 영역(OR)의 평면적보다 더 큰 평면적을 가질 수 있다.
도 1에는 나노시트 적층 구조물(NSS)의 평면 형상이 대략 사각형 형상을 가지는 경우를 예시하였으나, 본 발명의 기술적 사상은 이에 한정되는 것은 아니다. 나노시트 적층 구조물(NSS)은 핀형 활성 영역(FA)의 평면 형상 및 게이트(150)의 평면 형상에 따라 다양한 평면 형상을 가질 수 있다. 일 실시예에서, 복수의 나노시트들(N1, N2, N3)은 동일 물질로 이루어질 수 있다. 일 실시예에서, 복수의 나노시트들(N1, N2, N3)은 기판(102)의 구성 물질과 동일한 물질로 이루어질 수 있다.
나노시트들(N1, N2, N3)의 양측의 핀형 활성 영역(FA) 상에는 소스/드레인 영역(162)이 형성되어 있다. 소스/드레인 영역(162)은 각각 이웃하는 복수의 나노시트들(N1, N2, N3)의 일단에 연결되어 있다. 소스/드레인 영역(162)은 활성 영역(FA) 및 복수의 나노시트들(N1, N2, N3)로부터 선택적 에피택셜 성장(selective epitaxial growth: SEG) 공정으로 성장된 반도체층(162A)을 포함할 수 있다. 소스/드레인 영역(162)은 에피택셜 성장된 Si층, 에피택셜 성장된 SiC층, 에피택셜 성장된 복수의 SiGe층들을 포함하는 임베디드 SiGe 구조 등으로 이루어질 수 있다.
소스/드레인 영역(162)은 반도체층(162A) 위에 형성된 금속 실리사이드막(162B)을 더 포함할 수 있다. 일 실시예에서, 금속 실리사이드막(162B)은 티타늄 실리사이드로 이루어질 수 있으나, 이에 한정되는 것은 아니다. 일 실시예에서, 금속 실리사이드막(162B)은 생략 가능하다. 복수의 나노시트 적층 구조물들(NSS) 위에는 게이트(150)의 측벽을 차례로 덮는 게이트 절연 라이너(134), 외부 스페이서(136, outer spacer), 및 게이트 보호막(138)이 형성되어 있다.
게이트 보호막(138)은 복수의 소스/드레인 영역(162)을 덮도록 연장될 수 있다. 게이트 보호막(138)은 실리콘 질화막으로 이루어질 수 있다. 게이트 절연 라이너(134), 외부 스페이서(136) 및 게이트 보호막(138)은 각각 실리콘 질화막으로 이루어질 수 있으나, 이에 한정되는 것은 아니다. 일 실시예에서, 게이트 보호막(138)은 생략 가능하다. 게이트 절연 라이너(134), 외부 스페이서(136), 및 게이트 보호막(138)은 게이트(150)중 메인 게이트 부분(150M)의 측벽을 덮을 수 있다.
복수의 나노시트들(N1, N2, N3) 각각의 사이의 공간에는 소스/드레인 영역(162)에 접하는 내부 스페이서(140, inner spacer)가 형성되어 있다. 일 실시예에서, 내부 스페이서(140)는 복수의 서브 게이트 부분들(150S)중 적어도 일부의 측벽을 덮을 수 있다. 일 실시예에서, 내부 스페이서(140)는 절연층 또는 불순물이 도핑되지 않은 반도체층으로 구성될 수 있다. 내부 스페이서(140)로 인하여 게이트(150)와 소스/드레인 영역(162)간의 기생 커패시턴스를 낮출 수 있다.
복수의 나노시트들(N1, N2, N3)의 일측벽에서 소스/드레인 영역(162)과 나노시트들(N1, N2, N3) 사이에 소스/드레인 보호층(143)이 형성되어 있다. 소스/드레인 보호층(143)은 핀형 활성 영역(FA)의 상면에도 형성될 수 있다. 소스/드레인 보호층(143)은 반도체 소자(100)의 제조 공정, 예컨대 식각 공정에서 소스/드레인 영역(162)을 보호하기 위한 반도체층일 수 있다. 내부 스페이서(140) 및 소스/드레인 보호층(143)의 확대 구조에 대하여는 후에 보다 자세하게 설명한다.
일 실시예에서, 외부 스페이서(136) 및 내부 스페이서(140)는 그 표면에 반도체 원자의 시딩(seeding) 및 에피택셜 성장이 불가능한 절연막으로 이루어질 수 있다. 복수의 소스/드레인 영역들(162) 위에는 게이트간 절연막(172) 및 층간절연막(174)이 차례로 형성되어 있다. 게이트간 절연막(172) 및 층간절연막(174)은 각각 실리콘 산화막으로 이루어질 수 있으나, 이에 한정되는 것은 아니다. 복수의 소스/드레인 영역들(162)에는 각각 콘택 플러그(190)가 연결될 수 있다. 콘택 플러그(190)는 층간절연막(174), 게이트간 절연막(172), 및 게이트 보호막(138)을 관통하여 소스/드레인 영역(162)에 연결될 수 있다. 금속 실리사이드막(162B)은 반도체층(162A)과 콘택 플러그(190)와의 사이에 개재될 수 있다.
콘택 플러그(190)는 금속, 도전성 금속 질화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 콘택 플러그(190)는 W, Cu, Al, Ti, Ta, TiN, TaN, 이들의 합금, 또는 이들의 조합으로 이루어질 수 있으나, 본 발명의 기술적 사상이 위에 예시된 물질들에 한정되는 것은 아니다.
도 4a는 도 2의 IVA의 확대도이고, 도 4b는 도 4a의 IVB의 확대도이다.
구체적으로, 도 1 내지 도 3에 예시한 반도체 소자(100)중 나노시트 적층 구조물(NSS), 내부 스페이서(140) 및 소스/드레인 보호층(143)이 포함된 일부 영역을 도시한 도면이고, 도 4b는 도 4a의 내부 스페이서(140) 및 소스/드레인 보호층(143)이 포함된 일부 영역의 확대도로써, 편의상 소스/드레인 영역(162)은 도시하지 않는다. 도 4a 및 도 4b에서, 도 1 내지 도 3과 동일한 참조번호는 동일한 부재를 나타낸다.
도 1 내지 도 3에서, 앞서 설명한 바와 같이 복수의 나노시트들(N1, N2, N3) 각각의 사이의 공간에는 소스/드레인 영역(162)에 접하는 내부 스페이서(140)가 형성되어 있다. 내부 스페이서(140)는 소스/드레인 보호층(143)의 일측벽으로부터 ??X 방향(또는 X 방향)으로 리세스된 리세스 영역(106R)에 형성될 수 있다.
내부 스페이서(140)는 나노시트들(N1, N2, N3)의 일측벽으로부터 ??X 방향(또는 X 방향)으로 리세스된 리세스 영역(106R)에 형성될 수 있다. 다시 말해, 내부 스페이서(140)는 리세스 영역(106R) 내부 일측에 형성될 수 있다. 리세스 영역(106R)은 소스/드레인 보호층(143)의 일측벽으로부터 ??X 방향(또는 X 방향)으로 둥굴게 형성될 수 있다. 이에 따라, 내부 스페이서(140)는 서브 게이트 부분(150S) 방향으로 불룩하게 둥굴게 형성될 수 있다.
내부 스페이서(140)는 복수의 나노시트들(N1, N2, N3) 각각의 사이의 공간에서 서브 게이트 부분(150S)과 소스/드레인 영역(162) 사이에 개재될 수 있다. 일 실시예에서, 내부 스페이서(140)는 복수의 서브 게이트 부분들(150S)중 적어도 일부의 일측에 형성될 수 있다. 일 실시예에서, 내부 스페이서(140)는 실리콘 질화막으로 이루어질 수 있다.
앞서 설명한 바와 같이 복수의 나노시트들(N1, N2, N3)의 일 측벽에 소스/드레인 영역(162)과 나노시트들(N1, N2, N3) 사이에 소스/드레인 보호층(143)이 형성되어 있다. 소스/드레인 보호층(143)은 나노시트 적층 구조물(NSS) 또는 나노시트들(N1, N2, N3)을 한정하는 외부 스페이서(136)의 일측벽으로부터 제1 방향(-X 방향)으로 리세스된 시트 리세스 영역(141R)에 형성될 수 있다. 다른 관점에서, 소스/드레인 보호층(143)은 나노시트들(N1, N2, N3)의 일측벽으로부터 ??X 방향(또는 X 방향)으로 리세스된 시트 리세스 영역(141R)에 형성될 수 있다.
시트 리세스 영역(141R)은 나노시트들(N1, N2, N3)의 일측벽으로부터 ??X 방향(또는 X 방향)으로 둥굴게 형성될 수 있다. 이에 따라, 소스/드레인 보호층(143)은 서브 게이트 부분(150S) 방향으로 불룩하게 둥굴게 형성될 수 있다. 일 실시예에서, 소스/드레인 보호층(143)은 복수의 나노시트들(N1, N2, N3)의 일측벽에 재성장된 재성장층(regrowth layer)일 수 있다. 일 실시예에서, 소스/드레인 보호층(143)은 에피택셜 재성장층일 수 있다. 일 실시예에서, 소스/드레인 보호층(143)은 에피택셜 재성장된 실리콘층 또는 에피택셜 재성장된 불순불이 도핑되지 않은 실리콘층일 수 있다.
도 5a 내지 도 5d는 본 발명의 기술적 사상의 일 실시예에 따라 반도체 소자의 내부 스페이서 및 소스/드레인 보호층의 확대도이다.
구체적으로, 도 5a 내지 도 5d에서, 도 1 내지 도 3과 도 4a 및 도 4b와 동일한 참조번호는 동일한 부재를 나타낸다. 도 5a 내지 도 5d에서, 도 4a 및 도 4b와 동일한 설명은 간단히 설명하거나 생략한다.
도 5a를 참조하면, 앞서 설명한 바와 같이 소스/드레인 보호층(143)은 나노시트들(N1, N2, N3)의 일측벽에서 제1 방향으로 리세스된 시트 리세스 영역(141R)에 형성되어 있다. 더하여, 내부 스페이서(140)의 일측에서 내부 스페이서(140)와 나노시트들(N1, N2, N3) 사이에 제2 소스/드레인 보호층(143a)이 더 형성되어 있다. 이에 따라, 제1 및 제2 소스/드레인 보호층(143, 143a)은 반도체 소자(100)의 제조 공정, 예컨대 식각 공정에서 소스/드레인 영역(도 4a의 162)을 더 잘 보호할 수 있다.
도 5b를 참조하면, 내부 스페이서(140)의 일측에서 내부 스페이서(140)와 나노시트들(N1, N2, N3) 사이에 소스/드레인 보호층(143)보다 제1 방향으로 더 두껍게 제3 소스/드레인 보호층(143b)이 더 형성되어 있다. 제1 및 제3 소스/드레인 보호층(143, 143b)은 서로 연결되어 있으며 굴곡면(141a)을 가질 수 있다. 이에 따라, 제1 및 제3 소스/드레인 보호층(143, 143b)은 반도체 소자(100)의 제조 공정, 예컨대 식각 공정에서 소스/드레인 영역(도 4a의 162)을 더 잘 보호할 수 있다.
도 5c를 참조하면, 제4 소스/드레인 보호층(143c)이 도 5a와 비교할 때 나노시트들(N1, N2, N3)의 일측벽에서 제1 방향(-X방향)으로 소스/드레인 보호층(143)보다 더 깊게 형성되어 있다. 제4 소스/드레인 보호층(143c)은 제1 방향(-X방향)으로 나노시트들(N2, N3)를 더 깊게 시트 리세스 영역을 형성한 후, 에피택셜법으로 재성장된 재성장층일 수 있다.
제4 소스/드레인 보호층(143c)은 제1 방향으로 내부 스페이서(140)와 게이트(150S, 또는 게이트 절연막(145)) 사이에 형성되어 있다. 제4 소스/드레인 보호층(143c)은 일측이 평탄면(141b)을 가질 수 있다. 이에 따라, 제4 소스/드레인 보호층(143c)은 반도체 소자(100)의 제조 공정, 예컨대 식각 공정에서 소스/드레인 영역(도 4a의 162)을 더 잘 보호할 수 있다.
도 5d를 참조하면, 나노시트들(N1, N2, N3)의 일측벽 상에 제5 소스/드레인 보호층(143d)이 형성되어 있다. 앞서 설명한 바와 같이 나노시트들(N1, N2, N3)의 일측벽으로부터 ??X 방향(또는 X 방향)으로 리세스된 리세스 영역(106R)이 형성되어 있다. 리세스 영역(106R)의 내부에는 내부 스페이서(140-1)가 형성되어 있다.
제5 소스/드레인 보호층(143d) 및 내부 스페이서(140-1)는 일체형으로 구성될 수 있다. 제5 소스/드레인 보호층(143d) 및 내부 스페이서(140-1)는 리세스 영역(106R) 형성 후에 에피택셜법으로 재성장된 재성장층일 수 있다. 일 실시예에서, 제5 소스/드레인 보호층(143d) 및 내부 스페이서(140-1)는 에피택셜 재성장된 불순불이 도핑되지 않은 실리콘층일 수 있다.
도 6은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 단면도이다.
구체적으로, 도 6의 반도체 소자(300)는 도 1의 X - X'선 단면도일 수 있다. 도 6의 반도체 소자(300)에서, 도 1의 Y - Y'선 단면도는 도 3과 동일하므로 생략한다. 도 6에서, 도 1 내지 도 3과 동일한 참조번호는 동일한 부재를 나타내므로 동일한 설명은 생략하거나 간단히 설명한다.
반도체 소자(300)는 도 1 내지 도 3의 반도체 소자(100)와 비교할 때 3개의 서브 게이트 부분(150S)의 두께는 서로 동일하고, 3개의 서브 게이트 부분(150S)중 핀형 활성 영역(FA)에 가장 가까운 서브 게이트 부분(150S)의 양측벽에 버퍼 반도체층(106)이 형성된 것을 제외하고는 동일할 수 있다.
반도체 소자(300)는 3개의 서브 게이트 부분(150S)중 핀형 활성 영역(FA)에 가장 가까운 서브 게이트 부분(150S)의 양측벽은 핀형 활성 영역(FA)의 상면(104)을 덮는 버퍼 반도체층(106)으로 형성될 수 있다.
일 실시예에서, 버퍼 반도체층(106)은 핀형 활성 영역(FA) 및 복수의 나노시트들(N1, N2, N3)을 구성하는 물질과 다른 물질로 이루어질 수 있다. 일 실시예에서, 핀형 활성 영역(FA) 및 나노시트들(N1, N2, N3)은 실리콘으로 이루어지고, 버퍼 반도체층(106)은 Ge로 이루어질 수 있다. 일 실시예에서, 버퍼 반도체층(106)은 후에 설명하는 희생 반도체층, 예컨대 SiGe로 구성될 수 있다.
도 7 내지 도 19는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
구체적으로, 도 7 내지 도 19는 도 1 내지 도 3에 예시한 반도체 소자 (100)를 제조하기 위한 예시적인 방법을 도시한 것이다. 도 7 내지 도 19는 각각 도 1의 X - X' 선 단면에 대응하는 부분의 단면도들이다. 도 7 내지 도 19에서, 도 1 내지 도 3과 동일한 참조 부호는 동일 부재를 나타내며, 동일한 내용은 간단히 설명하거나 생략한다.
도 7을 참조하면, 기판(102) 상에 복수의 희생 반도체층들(106S)과 복수의 나노시트 반도체층들(NS)을 한 층씩 교대로 적층한다. 희생 반도체층들(106S)과 나노시트 반도체층들(NS)은 에피택셜 성장법으로 형성할 수 있다.
기판(102)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 일 실시예서, 기판(102)은 III-V족 물질 및 IV족 물질 중 적어도 하나로 이루어질 수 있다.
III-V족 물질은 적어도 하나의 III족 원소와 적어도 하나의 V족 원소를 포함하는 2원계, 3원계, 또는 4원계 화합물일 수 있다. III-V족 물질은 III족 원소로서 In, Ga 및 Al 중 적어도 하나의 원소와, V족 원소로서 As, P 및 Sb 중 적어도 하나의 원소를 포함하는 화합물일 수 있다.
예를 들면, III-V족 물질은 InP, InzGa1-zAs (0 ≤ z ≤ 1), 및 AlzGa1-zAs (0 ≤ z ≤ 1)로부터 선택될 수 있다. 2원계 화합물은, 예를 들면 InP, GaAs, InAs, InSb 및 GaSb중 어느 하나일 수 있다. 3원계 화합물은 InGaP, InGaAs, AlInAs, InGaSb, GaAsSb 및 GaAsP 중 어느 하나일 수 있다. IV족 물질은 Si 또는 Ge일 수 있다. 그러나, 본 발명의 기술적 사상에 의한 반도체 소자에서 사용 가능한 III-V족 물질 및 IV 족 물질이 위에 예시한 바에 한정되는 것은 아니다.
III-V족 물질과 Ge과 같은 IV족 물질은 저전력, 고속 트랜지스터를 만들 수 있는 채널 재료로 이용될 수 있다. Si기판에 비해 전자의 이동도가 높은 III-V족 물질, 예를 들면 GaAs로 이루어지는 반도체 기판과, Si 기판에 비해 정공의 이동도가 높은 반도체 물질, 예를 들면 Ge로 이루어지는 반도체 기판을 이용하여 고성능 CMOS를 형성할 수 있다.
일 실시예에서, 기판(102) 상에 NMOS 트랜지스터를 형성하는 경우, 기판(102)은 위에서 예시한 III-V 족물질들 중 어느 하나로 이루어질 수 있다. 일 실시예에서, 기판(102) 상에 PMOS 트랜지스터를 형성하는 경우, 기판(102)의 적어도 일부는 Ge로 이루어질 수 있다. 일 실시예에서, 기판(102)은 SOI(silicon on insulator) 구조를 가질 수 있다. 기판(102)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다.
복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)은 서로 다른 반도체 물질로 이루어질 수 있다. 일부 실시예들에서, 희생 반도체층(106S)은 SiGe로 이루어지고, 나노시트 반도체층(NS)은 Si로 이루어질 수 있으나, 위에 예시한 바에 한정되는 것은 아니다.
일 실시예에서, 복수의 희생 반도체층들(106S)중 기판(102)에 가장 가까운 희생 반도체층(106S)의 두께는 다른 나머지 희생 반도체층(106S)의 두께보다 더 클 수 있다. 그러나, 본 발명의 기술적 사상이 이에 한정되는 것은 아니다. 예를 들면, 복수의 희생 반도체층들(106S)은 모두 동일한 두께로 형성될 수도 있다.
다음에, 복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조 위에 마스크 패턴(MP)을 형성한다. 마스크 패턴(MP)은 패드 산화막 패턴(212) 및 하드마스크 패턴(214)을 포함할 수 있다. 하드 마스크 패턴(214)은 실리콘 질화물, 폴리실리콘, SOH(spin-on hardmask) 재료, 또는 이들의 조합으로 이루어질 수 있으나, 앞서 예시한 바에 한정되는 것은 아니다. 일 실시예에서, SOH 재료는 탄소 함량이 SOH 재료의 총 중량을 기준으로 약 85 중량% 내지 약 99 중량%의 비교적 높은 탄소 함량을 가지는 탄화수소 화합물 또는 그의 유도체로 이루어질 수 있다.
마스크 패턴(MP)을 식각 마스크로 이용하여 복수의 희생 반도체층(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조와, 기판(102)의 일부를 식각하여 복수의 제1 트렌치들(T1)을 형성한다. 그 결과, 복수의 제1 트렌치들(T1)에 의해 정의되는 복수의 핀형 활성 영역들(FA)이 형성될 수 있다. 복수의 핀형 활성 영역들(FA)이 형성된 후, 복수의 핀형 활성 영역들(FA) 위에 복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조가 남게 된다.
복수의 제1 트렌치(T1) 내에 STI(shallow trench isolation)막(114)을 형성한다. STI막(114)은 제1 트렌치(T1)의 내벽을 컨포멀(conformal)하게 덮는 트렌치 절연 라이너(114A)와, 트렌치 절연 라이너(114A) 위에서 제1 트렌치(T1)를 채우는 갭필 절연막(114B)을 포함할 수 있다.
일 실시예에서, 제1 트렌치(T1)의 내벽을 덮는 트렌치 절연 라이너(114A)는 산화막, SiN (silicon nitride), SiON (silicon oxynitride), SiBN (silicon boronitride), SiC (silicon carbide), SiC:H, SiCN, SiCN:H, SiOCN, SiOCN:H, SiOC (silicon oxycarbide), SiO2 (silicon dioxide), 폴리실리콘, 또는 이들의 조합으로 이루어질 수 있다. 일 실시예에서, 트렌치 절연 라이너(114A)는 약 10 ∼ 100 Å의 두께를 가질 수 있다.
일 실시예에서, 갭필 절연막(114B)은 산화막으로 이루어질 수 있다. 일 실시예에서, 갭필 절연막(114B)은 증착 공정 또는 코팅 공정에 의해 형성된 산화막으로 이루어질 수 있다. 일 실시예에서, 갭필 절연막(114B)은 FCVD(flowable chemical vapor deposition) 공정 또는 스핀 코팅 (spin coating) 공정에 의해 형성된 산화막으로 이루어질 수 있다. 예를 들면, 갭필 절연막(114B)은 FSG (fluoride silicate glass), USG(undoped silicate glass), BPSG(boro-phospho-silicate glass), PSG(phospho-silicate glass), FOX(flowable oxide), PE-TEOS(plasma enhanced tetra-ethyl-ortho-silicate), 또는 TOSZ(tonen silazene)로 이루어질 수 있으나, 이들에 한정되는 것은 아니다.
이어서, 핀형 활성 영역(FA) 및 STI막(114)이 형성된 결과물로부터 일부 구조물들을 식각하여 도 3에 예시한 바와 같이 소자 영역(DR)을 한정하는 제2 트렌치(T2)를 형성하고, 제2 트렌치(T2) 내에 소자분리막(116)을 형성한다.
도 8을 참조하면, 복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조 상에 남아 있는 마스크 패턴(MP)을 제거하고, STI막(114) 및 소자분리막(116)을 그 상부로부터 일부 두께만큼 제거하기 위한 리세스(recess) 공정을 수행한다.
STI막(114) 및 소자분리막(116) 각각의 상면이 핀형 활성 영역(FA)의 상면(104)과 대략 동일하거나 유사한 레벨로 되도록 리세스 공정을 수행할 수 있다. 그 결과, 복수의 핀형 활성 영역들(FA) 위에 있는 복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조의 측벽이 노출될 수 있다. 리세스 공정을 수행하기 위하여, 건식 식각, 습식 식각, 또는 건식 및 습식을 조합한 식각 공정을 이용할 수 있다.
도 9를 참조하면, 복수의 핀형 활성 영역들(FA) 위에서 복수의 핀형 활성 영역들(FA)과 교차하여 연장되는 복수의 더미 게이트 구조체들(DGS)을 형성한다. 더미 게이트 구조체(DGS)는 산화막(D152), 더미 게이트층(D154), 및 캡핑층(D156)이 차례로 적층된 구조를 가질 수 있다. 더미 게이트 구조체(DGS)를 형성하기 위한 일 예에서, 복수의 핀형 활성 영역들(FA)을 덮고 있는 복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조의 노출 표면과, STI 막(114)의 상면과, 소자분리막(116)의 상면을 각각 덮도록 산화막(D152), 더미 게이트층(D154), 및 캡핑층(D156)을 차례로 형성한 후, 이들을 패터닝하여 산화막(D152), 더미 게이트층(D154), 및 캡핑층(D156)이 필요한 부분에만 남도록 할 수 있다. 더미 게이트 구조체(DGS)는 도 1에 예시한 게이트(150)의 평면 형상에 대응하는 평면 형상을 가지도록 형성될 수 있다. 일 실시예에서, 더미 게이트층(D154)은 폴리실리콘으로 이루어지고, 캡핑층(D156)은 실리콘 질화막으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
다음에, 더미 게이트 구조체(DGS)의 노출 표면과, 복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조의 노출 표면과, STI막(114) 및 소자분리막(116) 각각의 상면을 덮는 게이트 절연 라이너(134)를 형성한다. 일 실시예에서, 게이트 절연 라이너(134)는 실리콘 질화막으로 이루어질 수 있다.
도 10을 참조하면, 더미 게이트 구조체(DGS)의 양 측벽을 덮는 외부 스페이서(136)를 형성하고, 더미 게이트 구조체(DGS) 및 외부 스페이서(136)를 식각 마스크로 이용하여 복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조의 일부를 식각에 의해 제거하여, 복수의 나노시트 반도체층들(NS)로부터 복수의 나노시트들(N1, N2, N3)을 포함하는 복수의 나노시트 적층 구조물들(NSS)을 형성한다.
외부 스페이서(136)를 형성하기 위하여, 게이트 절연 라이너(134)가 형성된 도 9의 결과물 상에 실리콘 질화막으로 이루어지는 스페이서층을 형성한 후, 스페이서층을 다시 에치백하여 외부 스페이서(136)가 남도록 할 수 있다.
일 실시예에서, 복수의 희생 반도체층들(106S) 및 복수의 나노시트 반도체층들(NS)의 적층 구조를 식각하는 데 있어서, 복수의 희생 반도체층들(106S)중 최하부에 있는 희생 반도체층(106S)이 노출되는 시점을 식각 종료점으로 하여 식각 공정을 수행할 수 있다.
이에 따라, 복수의 나노시트 적층 구조물들(NSS)이 형성된 후, 복수의 나노시트 적층 구조물들(NSS) 각각의 사이에는 핀형 활성 영역(FA)을 덮는 희생 반도체층(106S)이 노출될 수 있다. 복수의 나노시트 적층 구조물들(NSS)이 형성된 후, 핀형 활성 영역(FA)과 복수의 나노시트 적층 구조물들(NSS)과의 사이, 그리고 복수의 나노시트들(N1, N2, N3) 각각의 사이에는 희생 반도체층(106S)이 남아 있을 수 있다.
도 11을 참조하면, 등방성 식각 공정을 이용하여 복수의 나노시트 적층 구조물들(NSS) 각각의 양측에서 노출되는 복수의 희생 반도체층들(106S)을 일부 제거하여, 복수의 나노시트들(N1, N2, N3) 각각의 사이에 리세스 영역(106R)을 형성한다.
일 실시예에서, 리세스 영역(106R)이 형성되는 동안, 복수의 나노시트 적층 구조물들(NSS) 각각의 사이에서 핀형 활성 영역(FA)을 덮는 최하부의 희생 반도체층(106S)의 노출된 부분도 그 상면으로부터 모두 제거되거나 일부만 제거될 수 있다.
일 실시예에서, 리세스 영역(106R)을 형성하기 위한 등방성 식각 공정은 희생 반도체층들(106S)과 나노시트들(N1, N2, N3) 사이의 식각 선택비 차이를 이용한 습식 식각 공정을 이용하여 수행될 수 있다. 예컨대, 희생 반도체층(106S)을 SiGe로 형성하고, 나노시트 반도체층(NS)은 Si로 형성할 경우, SiGe의 식각 속도가 Si보다 빠른 식각액을 이용할 경우 리세스 영역(106R)을 형성할 수 있다.
도 12를 참조하면, 복수의 나노시트들(N1, N2, N3) 각각의 사이에 형성된 리세스 영역(106R)(도 10 참조)의 내벽에 내부 스페이서(140)를 형성한다. 일 실시예에서, 내부 스페이서(140)는 실리콘 질화막으로 이루어질 수 있다. 일 실시예에서, 내부 스페이서(140)는 복수의 절연층들을 포함할 수 있다. 일 실시예에서, 내부 스페이서(140)는 SiCN, SiBN, SiON, SiOCN, SiBCN, SiOC, 및 SiO2중에서 선택되는 적어도 하나를 포함할 수 있다.
내부 스페이서(140)는 도 11의 결과물 전면에 절연층을 형성한 후, 리세스 영역(106R)의 외부에 있는 부분을 제거하여, 리세스 영역(106R) 내의 희생 반도체층(106S)과 접하게 남겨서 형성할 수 있다. 일 실시예에서, 내부 스페이서(140)는 희생 반도체층(106S)을 향하여 수평 방향으로 라운드된 형상을 가질 수 있다. 일 실시예에서, 내부 스페이서(140)는 리세스 영역(106R)에 채워질 수 있다.
도 13을 참조하면, 복수의 나노시트들(N1, N2, N3)의 일측벽을 리세스하여 시트 리세스 영역(141R)을 형성한다. 등방성 식각 공정을 이용하여 복수의 나노시트 적층 구조물들(NSS) 각각의 양측에서 노출되는 나노시트들(N1, N2, N3)을 일부 제거하여, 복수의 나노시트들(N1, N2, N3) 각각의 일측에 시트 리세스 영역(141R)을 형성한다.
일 실시예에서, 시트 리세스 영역(141R)이 형성되는 동안, 핀형 활성 영역(FA)의 표면도 일부 식각되어 표면 리세스 영역(141R)이 형성될 수 있다. 일 실시예에서, 시트 리세스 영역(141R)을 형성하기 위한 등방성 식각 공정은 복수의 희생 반도체층들(106S)과, 복수의 나노시트들(N1, N2, N3) 및 내부 스페이서(140) 사이의 식각 선택비 차이를 이용한 습식 식각 공정을 이용하여 수행될 수 있다.
도 14를 참조하면, 복수의 나노시트들(N1, N2, N3)의 일 측벽의 시트 리세스 영역(141R)에 소스/드레인 보호층(143)을 형성한다. 소스/드레인 보호층(143)은 복수의 나노시트들(N1, N2, N3)의 일측벽에 에피택셜 성장법에 의해 재성장된 재성장층일 수 있다. 일 실시예에서, 소스/드레인 보호층(143)은 실리콘층 또는 불순불이 도핑되지 않은 실리콘층일 수 있다.
복수의 나노시트들(N1, N2, N3)의 일 측벽에 소스/드레인 보호층(143을 형성하는 동안 핀형 활성 영역(102)의 상면에도 소스/드레인 보호층(143)이 형성될 수 있다. 소스/드레인 보호층(143)은 후의 제조 공정, 예컨대 식각 공정에서 소스/드레인 영역(도 1 내지 3의 162)을 보호하기 위한 반도체층일 수 있다. 계속하여, 복수의 나노시트들(N1, N2, N3)의 노출된 양 측벽과, 기판(102)의 노출 표면을 세정하여 자연 산화막을 제거한다.
도 15를 참조하면, 복수의 나노시트들(N1, N2, N3)의 노출된 양 측벽 및 기판(102) 표면으로부터 반도체 물질을 에피택셜 성장시켜 소스/드레인 영역(도 2의 162) 형성을 위한 반도체층(162A)을 형성한다.
외부 스페이서(136) 및 내부 스페이서(140)는 그 표면에 반도체 원자의 시딩(seeding) 및 에피택셜 성장이 불가능한 절연막으로 형성될 수 있다. 이에 따라, 반도체층(162A) 형성을 위한 에피택셜 성장 공정은 복수의 나노시트들(N1, N2, N3)의 노출된 양 측벽 및 기판(102)의 노출면으로부터만 진행될 수 있다.
도 16을 참조하면, 반도체층(162A)이 형성된 결과물을 덮는 게이트 보호막(138)을 형성한다. 일 실시예에서, 게이트 보호막(138)은 실리콘 질화막으로 이루어질 수 있다. 게이트 보호막(138)을 형성하기 위하여, ALD 또는 CVD 공정을 이용할 수 있다.
게이트 보호막(138) 위에 게이트간 절연막(172)을 형성한 후, 게이트간 절연막(172)을 평탄화하여 캡핑층(도 14의 D1656)의 상면을 노출시키다. 이어서, 캡핑층(D156)과 그 주위에 있는 게이트 절연 라이너(134), 외부 스페이서(136), 및 게이트 보호막(138)을 에치백하고, 게이트간 절연막(172)을 그 상부로부터 일부 두께만큼 연마하여, 게이트간 절연막(172)의 상면이 더미 게이트층(D154)의 상면과 대략 동일한 레벨에 위치하도록 한다.
도 17을 참조하면, 게이트간 절연막(172)을 통해 노출되는 더미 게이트층(D154) 및 그 하부의 산화막(D152)을 제거하여 게이트 구조물 공간(SP6)을 형성한다. 게이트 구조물 공간(SP6)을 통해 게이트 절연 라이너(134) 및 나노시트(N3)가 노출될 수 있다.
더미 게이트층(D154) 및 그 하부의 산화막(D152)을 제거하기 위하여 습식 식각 공정을 이용할 수 있다. 습식 식각 공정을 수행하는 동안, 게이트 구조물 공간(SP6)의 폭(W6)을 한정하는 한 쌍의 게이트 절연 라이너(134)가 식각액에 노출될 수 있다. 게이트 절연 라이너(134)는 비교적 높은 탄소 함량비를 가지는 물질로 이루어지므로 식각액에 대한 내성이 우수하다.
따라서, 게이트 절연 라이너(634)가 식각액에 노출되어도 식각액에 의하여 실질적으로 소모되지 않고 그대로 남아 있도록 할 수 있다. 따라서, 게이트 구조물 공간(SP6)의 폭(W6)을 일정하게 유지할 수 있다.
도 18을 참조하면, 핀형 활성 영역(FA) 상에 남아 있는 복수의 희생 반도체층들(106S)을 게이트 구조물 공간(SP6)을 통해 제거하여, 게이트 구조물 공간(SP6)을 통해 나노시트들(N1, N2, N3) 및 핀형 활성 영역(FA)의 상면(104)을 일부 노출시킨다. 나노시트들(N1, N2, N3) 사이에는 게이트 구조물 공간(SP6-1)이 형성될 수 있다.
희생 반도체층(106S)을 게이트 구조물 공간(SP6)을 통해 제거하기 위하여 습식 식각 공정을 이용할 수 있다. 습식 식각 공정을 수행하는 동안, 내부 스페이서(140)가 식각액에 노출될 수 있다. 내부 스페이서(140)는 비교적 높은 탄소 함량비를 가지는 물질로 이루어지므로, 식각액에 대한 내성이 우수하다.
따라서, 내부 스페이서(140)가 식각액에 노출되어도 식각액에 의하여 실질적으로 소모되지 않고 그대로 남아 있도록 할 수 있다. 아울러서, 후에 좀더 자세히 설명하지만 소스/드레인 보호층(143)으로 인하여 습식 식각시 반도체층(162A)이 손상되는 것을 감소시킬 수 있다.
도 19를 참조하면, 복수의 나노시트들(N1, N2, N3) 및 핀형 활성 영역(FA)의 노출 표면으로부터 자연 산화막을 제거한 후, 게이트 구조물 공간(도 18의 SP6, SP6-1)에서 노출되는 표면들 위에 게이트 절연막(145)을 형성한다.
게이트 절연막(145)은 인터페이스막(interfacial layer)과 고유전막의 적층 구조로 이루어질 수 있다. 인터페이스막은 핀형 활성 영역(FA)의 상면과 복수의 나노시트들(N1, N2, N3)의 표면에서 고유전막 사이의 계면 결함을 치유하는 역할을 할 수 있다.
일 실시예에서, 인터페이스막은 유전율이 약 9 이하인 저유전 물질층, 예를 들면 실리콘 산화막, 실리콘 산질화막, Ga 산화막, Ge 산화막, 또는 이들의 조합으로 이루어질 수 있다. 일 실시예에서, 인터페이스막은 실리케이트, 실리케이트와 실리콘 산화막과의 조합, 또는 실리케이트와 실리콘 산질화막과의 조합으로 이루어질 수 있다. 일 실시예에서, 인터페이스막은 생략될 수 있다.
고유전막은 실리콘 산화막보다 유전 상수가 더 큰 물질로 이루어질 수 있다. 예를 들면, 고유전막은 약 10 내지 25의 유전 상수를 가질 수 있다. 고유전막은 하프늄 산화물(hafnium oxide), 하프늄 산질화물(hafnium oxynitride), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 및 납 아연 니오브산염(lead zinc niobate), 및 이들의 조합 중에서 선택되는 물질로 이루어질 수 있으나, 고유전막을 구성하는 물질이 위에 예시된 바에 한정되는 것은 아니다. 고유전막은 ALD(atomic layer deposition), CVD(chemical vapor deposition), 또는 PVD(physical vapor deposition) 공정에 의해 형성될 수 있다. 고유전막은 약 10 ∼ 40 Å의 두께를 가질 수 있으나, 이에 한정되는 것은 아니다.
게이트 절연막(145) 위에서 게이트 구조물 공간(SP6, SP6-1)을 채우면서 게이트간 절연막(272)을 덮는 게이트(150)를 형성한다. 게이트(150)는 복수의 나노시트들(N1, N2, N3)을 포함하는 나노시트 적층 구조물(NSS)의 상면을 덮는 메인 게이트 부분(150M)과, 메인 게이트 부분(150M)에 연결되고 나노시트들(N1, N2, N3) 각각의 사이의 공간에 형성되는 서브 게이트 부분(150S)을 포함할 수 있다.
게이트(150)는 일함수 조절용 금속 함유층과, 일함수 조절용 금속 함유층의 상부 공간을 채우는 갭필용 금속 함유층을 포함할 수 있다. 일 실시예에서, 게이트(150)는 금속 질화물층, 금속층, 도전성 캡핑층, 및 갭필 (gap-fill) 금속막이 차례로 적층된 구조를 가질 수 있다. 금속 질화물층 및 금속층은 각각 Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, 및 Pd 중에서 선택되는 적어도 하나의 금속을 포함할 수 있다. 금속 질화물층 및 금속층은 각각 ALD, MOALD(metal organic ALD), 또는 MOCVD (metal organic CVD) 공정에 의해 형성될 수 있다.
도전성 캡핑층은 금속층의 표면이 산화되는 것을 방지하는 보호막 역할을 할 수 있다. 또한, 도전성 캡핑층은 금속층 위에 다른 도전층이 증착될 때 증착을 용이하게 하기 위한 접착층(wetting layer) 역할을 할 수 있다. 도전성 캡핑층은 금속 질화물, 예를 들면 TiN, TaN, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
갭필 금속막은 도전성 캡핑층 위에 연장될 수 있다. 갭필 금속막은 W막으로 이루어질 수 있다. 갭필 금속막은 ALD, CVD, 또는 PVD 공정에 의해 형성될 수 있다. 갭필 금속막은 도전성 캡핑층의 상면에서의 영역간 단차부에 의해 형성되는 리세스 공간을 보이드(void) 없이 매립할 수 있다. 일 실시예에서, 게이트 형성용 도전층(150L)은 TiAlC/TiN/W의 적층 구조 또는 TiN/TaN/TiAlC/TiN/W의 적층 구조, 또는 TiN/TaN/TiN/TiAlC/TiN/W의 적층 구조를 포함할 수 있다. 적층 구조들에서, TiAlC 층 또는 TiN 층이 일함수 조절용 금속 함유층의 역할을 할 수 있다.
그 후, 도 2에 예시된 바와 같이, 게이트(150) 및 게이트간 절연막(172)을 덮는 층간절연막(174)을 형성한 후, 층간절연막(174) 및 게이트간 절연막(172)을 일부 식각하여 복수의 반도체층들(162A)을 노출시키는 복수의 콘택홀을 형성한다. 그 후, 복수의 콘택홀을 통해 노출되는 복수의 반도체층들(162A)의 상면에 금속 실리사이드막(162B)을 형성하고, 금속 실리사이드막(162B)을 통해 반도체층(162A)에 각각 연결되는 복수의 콘택 플러그들(190)을 형성하여, 도 1 내지 도 3에 예시한 반도체 소자(100)를 형성한다.
도 20a 및 도 20b는 본 발명의 기술적 사상의 일 실시예에 의해 내부 스페이서 및 소스/드레인 보호층의 형성 방법을 설명하기 위한 단면도들이다.
구체적으로, 도 20a 및 도 20b는 앞서 도 11 내지 도 14의 내부 스페이서(140) 및 소스/드레인 보호층(143)을 형성하는 공정을 도시한 것이다. 도 20a에 도시한 바와 같이 복수의 나노시트들(N2, N3)의 사이에 리세스 영역(106R)을 형성하고, 리세스 영역(106R)의 내부에 내부 스페이서(140)를 형성한다.
리세스 영역(106R)은 등방성 식각 공정을 통하여 형성될 수 있어 희생 반도체층(106S)을 향하여 둥굴게 형성될 수 있다. 내부 스페이서(140)는 리세스 영역(106R) 내부의 희생 반도체층(106S)에 접하여 형성될 수 있다. 내부 스페이서(140)는 수평 방향으로 리세스 영역(106R)의 중앙 부분은 두껍고, 리세스 영역(106R)의 모서리 부분(A), 즉 입구 부분은 두께가 얇을 수 있다.
이에 따라, 도 18의 희생 반도체층(106S)의 등방성 식각시 식각액이 모서리 부분(A)으로 침투하여 반도체층(162A) 또는 소스/드레인 영역(162)의 손상이 일어날 수 있다. 이를 해결하기 위하여, 도 20a에 도시한 바와 같이 복수의 나노시트들(N2, N3)의 일측벽을 리세스하여 시트 리세스 영역(141R)을 형성한다. 리세스 영역(141R)의 깊이(DE)는 다양하게 조절할 수 있다.
이어서, 도 20b에 도시한 바와 같이 나노시트들(N2, N3)의 일측벽의 시트 리세스 영역(141R)에 에피택셜 성장법에 의해 소스/드레인 보호층(143)을 형성한다. 소스/드레인 보호층(143)은 리세스 영역(106R)의 모서리 부분(A), 즉 입구 부분을 강화하여 도 18의 희생 반도체층(106S)의 등방성 식각시 식각액이 침투하여 발생하는 반도체층(162A), 또는 소스/드레인 영역(162)의 손상을 방지할 수 있다.
추가적으로, 복수의 나노시트들(N2, N3)의 일측벽을 리세스하여 시트 리세스 영역(141R)을 형성할 때 더 깊게 식각한 후, 에피택셜법으로 재성장시킬 경우 도 5c에 도시한 바와 같은 제4 소스/드레인 보호층(143c)을 형성할 수 있다.
또한, 리세스 영역(106R)에 도 21a와 같은 내부 스페이서(140)을 형성하지 않고, 에피택셜법으로 재성장시킬 경우 도 5d에 도시한 바와 같은 일체형의 제5 소스/드레인 보호층(143d) 및 내부 스페이서(140-1)를 형성할 수 있다.
도 21a 및 도 21b는 본 발명의 기술적 사상의 일 실시예에 의해 내부 스페이서 및 소스/드레인 보호층의 형성 방법을 설명하기 위한 단면도들이다.
구체적으로, 도 21a 및 도 21b는 도 20a 및 도 20b와 비교할 때 제2 시트 리세스 영역(141R-1) 및 제2 소스/드레인 보호층(143a)이 더 형성된 것을 제외하고는 동일할 수 있다. 도 21a 및 도 21b에서, 도 20a 및 도 20b와 동일한 내용은 생략하거나 간단히 설명한다.
도 21a에 도시한 바와 같이 복수의 나노시트들(N2, N3)의 사이에 리세스 영역(106R)을 형성하고, 리세스 영역(106R)의 내부에 내부 스페이서(140)를 형성한다. 계속하여, 복수의 나노시트들(N2, N3)의 일측벽을 리세스하여 시트 리세스 영역(141R)을 형성한다. 시트 리세스 영역(141R)의 깊이(DE)는 다양하게 조절할 수 있다. 시트 리세스 영역(141R)의 형성시에 나노시트들(N2, N3)과 내부 스페이서(140) 사이의 모서리 부분(B)에 제2 시트 리세스 영역(141R-1)이 형성될 수 있다.
이어서, 도 21b에 도시한 바와 같이 나노시트들(N2, N3)의 일측벽의 시트 리세스 영역(141R)에 에피택셜 성장법에 의해 소스/드레인 보호층(143)을 형성한다. 소스/드레인 보호층(143)을 형성할 때 제2 시트 리세스 영역(141R-1)에 제2 소스/드레인 보호층(143-1)이 형성될 수 있다. 제1 및 제2 소스/드레인 보호층(143, 143a)은 리세스 영역(106R)의 모서리 부분(B), 즉 입구 부분을 강화하여 도 18의 희생 반도체층(106S)의 등방성 식각시 식각액이 침투하여 발생하는 반도체층(162A) 또는 소스/드레인 영역(162)의 손상을 방지할 수 있다.
도 22는 본 발명의 기술적 사상에 의한 일 실시예에 따른 전자 소자의 블록 다이어그램이다.
구체적으로, 전자 소자(1000)는 로직 영역(1010) 및 메모리 영역(1020)을 포함한다. 로직 영역(1010)은 카운터(counter), 버퍼 (buffer) 등과 같은 원하는 논리적 기능을 수행하는 표준 셀 (standard cells)로서, 트랜지스터, 레지스터 등과 같은 복수의 회로 소자들(circuit elements)을 포함하는 다양한 종류의 논리 셀을 포함할 수 있다.
논리 셀은 예를 들면, AND, NAND, OR, NOR, XOR(exclusive OR), XNOR (exclusive NOR), INV(inverter), ADD(adder), BUF(buffer), DLY(delay), FILL(filter), 멀티플렉서(MXT/MXIT). OAI(OR/AND/INVERTER), AO(AND/OR), AOI(AND/OR/INVERTER), D 플립플롭, 리셋 플립플롭, 마스터-슬레이브 플립플롭(master-slaver flip-flop), 래치(latch) 등을 구성할 수 있다. 그러나, 예시한 셀들은 단지 예시에 불과하며, 본 발명의 기술적 사상에 따른 논리 셀이 위에서 예시된 셀에만 한정되는 것은 아니다.
메모리 영역(1020)은 SRAM, DRAM, MRAM, RRAM, 및 PRAM 중 적어도 하나를 포함할 수 있다. 로직 영역(1010) 및 메모리 영역(1020)은 앞서 설명한 반도체 소자(100, 300) 및 이들로부터 본 발명의 기술적 사상의 범위 내에서 변형 및 변경된 다양한 구조를 가지는 반도체 소자들 중 적어도 하나를 포함할 수 있다.
도 23은 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자를 포함하는 전자 시스템의 블록 다이어그램이다.
구체적으로, 전자 시스템(2000)은 콘트롤러(2010), 입출력 장치 (I/O)(2020), 메모리(2030), 및 인터페이스(2040)를 포함하며, 이들은 각각 버스(2050)를 통해 상호 연결되어 있다. 전자 시스템(2000)을 구성하는 요소들은 앞서 설명한 반도체 소자(100, 300)를 포함할 수 있다.
콘트롤러(2010)는 마이크로프로세서 (microprocessor), 디지탈 신호 프로세서, 또는 이들과 유사한 처리 장치 중 적어도 하나를 포함할 수 있다. 입출력 장치(2020)는 키패드(keypad), 키보드(keyboard), 또는 디스플레이(display)중 적어도 하나를 포함할 수 있다.
메모리(2030)는 콘트롤러(2010)에 의해 실행된 명령을 저장하는 데 사용될 수 있다. 예를 들면, 메모리(2030)는 유저 데이타(user data)를 저장하는 데 사용될 수 있다.
전자 시스템(2000)은 무선 통신 장치, 또는 무선 환경 하에서 정보를 전송 및/또는 수신할 수 있는 장치를 구성할 수 있다. 전자 시스템(2000)에서 무선 커뮤니케이션 네트워크를 통해 데이타를 전송/수신하기 위하여 인터페이스(2040)는 무선 인터페이스로 구성될 수 있다.
인터페이스(2040)는 안테나 및/또는 무선 트랜시버(wireless transceiver)를 포함할 수 있다. 일 실시예에서, 전자 시스템(2000)은 제3 세대 통신 시스템, 예를 들면, CDMA(code division multiple access), GSM(global system for mobile communications), NADC (north American digital cellular), E-TDMA (extended-time division multiple access), 및/또는 WCDMA(wide band code division multiple access)와 같은 제3 세대 통신 시스템의 통신 인터페이스 프로토콜에 사용될 수 있다.
이상 본 발명을 도면에 도시된 실시예를 참고로 설명하였으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형, 치환 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해하여야 한다. 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
FA: 핀형 활성 영역, N1-N3: 나노시트, NSS: 나노시트 적층 구조물, 102: 기판, 114: STI막, 116: 소자분리막, 140: 내부 스페이서, 143: 소스/드레인 보호층, 145: 게이트 절연막, 150: 게이트, 162: 소스/드레인 영역

Claims (20)

  1. 기판으로부터 돌출되고 제1 방향으로 연장되는 핀형 활성 영역;
    상기 핀형 활성 영역의 상면으로부터 이격된 위치에서 상기 핀형 활성 영역의 상면과 대면하고 채널 영역을 가지는 적어도 하나의 나노시트;
    상기 핀형 활성 영역 상에서 상기 제1 방향과 교차하는 제2 방향으로 연장되고 상기 나노시트의 적어도 일부를 포위하는 게이트;
    상기 나노시트의 양측의 상기 핀형 활성 영역 상에 배치된 소스/드레인 영역; 및
    상기 나노시트의 일측벽에 위치하고 상기 소스/드레인 영역과 상기 나노시트들 사이에 배치된 소스/드레인 보호층을 포함하는 반도체 소자.
  2. 제1항에 있어서, 상기 소스/드레인 보호층은 상기 적어도 하나의 나노시트의 일측벽에 재성장된 재성장층(regrowth layer)인 것을 특징으로 하는 반도체 소자.
  3. 제1항에 있어서, 상기 소스/드레인 보호층은 상기 적어도 하나의 나노시트들를 한정하는 외부 스페이서의 일측벽으로부터 상기 제1 방향으로 리세스된 시트 리세스 영역에 배치되어 있는 것을 특징으로 하는 반도체 소자.
  4. 제1항에 있어서, 상기 소스/드레인 보호층은 상기 제1 방향으로 상기 소스/드레인 영역과 상기 게이트 사이에 더 배치되어 있는 것을 특징으로 하는 반도체 소자.
  5. 제1항에 있어서, 상기 소스/드레인 영역과 상기 게이트 사이, 및 상기 나노시트들 사이에 내부 스페이서가 더 배치되어 있는 것을 특징으로 하는 반도체 소자.
  6. 제5항에 있어서, 상기 소스/드레인 보호층은 상기 제1 방향으로 상기 내부 스페이서와 상기 게이트 사이에 더 배치되어 있는 것을 특징으로 하는 반도체 소자.
  7. 제5항에 있어서, 상기 소스/드레인 보호층은 상기 내부 스페이서의 일측에서 상기 내부 스페이서와 상기 나노시트 사이에 더 배치되어 있는 것을 특징으로 하는 반도체 소자.
  8. 제5항에 있어서, 상기 내부 스페이서는 상기 나노시트들의 일측벽에서 상기 제1 방향으로 리세스된 리세스 영역에 배치되어 있는 것을 특징으로 하는 반도체 소자.
  9. 제8항에 있어서, 상기 내부 스페이서는 상기 제1 방향으로 상기 게이트를 향하여 불룩하게 돌출되어 있는 것을 특징으로 하는 반도체 소자.
  10. 제8항에 있어서, 상기 소스/드레인 보호층 및 내부 스페이서는 일체형으로 배치되어 있는 것을 특징으로 하는 반도체 소자.
  11. 제1항에 있어서, 상기 게이트는 상기 나노시트의 위에서 제1 두께를 가지는 메인 게이트 부분과, 상기 제1 두께보다 작은 제2 두께를 가지고 상기 나노시트 및 상기 핀형 활성 영역의 사이에 위치하는 복수의 서브 게이트 부분들을 포함하는 것을 특징으로 하는 반도체 소자.
  12. 제11항에 있어서, 상기 복수의 서브 게이트 부분들중 최하단의 서브 게이트 부분의 두께는, 나머지 서브 게이트 부분의 두께보다 두꺼운 것을 특징으로 하는 반도체 소자.
  13. 제11항에 있어서, 상기 복수의 서브 게이트 부분들중 상기 핀형 활성 영역과 가까운 상기 서브 게이트 부분의 양측벽에 버퍼 반도체층이 배치되어 있는 것을 특징으로 하는 반도체 소자.
  14. 활성 영역을 가지는 기판;
    상기 활성 영역의 상면으로부터 이격된 위치에서 상기 상면과 대면하고 각각 채널 영역을 가지는 복수의 나노시트들을 포함하는 적어도 하나의 나노시트 적층 구조물;
    상기 활성 영역 상에서 상기 활성 영역과 교차하는 방향으로 연장되고 상기 적어도 하나의 나노시트 적층 구조물을 덮되, 상기 적어도 하나의 나노시트 적층 구조물 위의 메인 게이트 부분과 상기 복수의 나노시트들 각각의 하측에 배치되는 서브 게이트 부분을 포함하는 게이트;
    상기 적어도 하나의 나노시트 적층 구조물과 상기 게이트 사이에 개재된 게이트 절연막;
    상기 나노시트 적층 구조물들의 양측의 상기 활성 영역 상에 배치된 소스/드레인 영역;
    상기 복수의 나노시트들 위에서 상기 메인 게이트의 측벽을 덮는 외부 스페이서;
    상기 소스/드레인 영역과 상기 게이트 사이, 및 상기 나노시트들 사이에 개재되는 내부 스페이서; 및
    상기 나노시트 적층 구조물들의 일측벽에 위치하고 상기 소스/드레인 영역과 상기 나노시트 적층 구조물들 사이에 배치되는 소스/드레인 보호층을 포함하는 것을 특징으로 하는 반도체 소자.
  15. 제14항에 있어서, 상기 소스/드레인 보호층은 상기 외부 스페이서의 일측벽으로부터 내측으로 리세스된 시트 리세스 부분에 배치된 재성장층인 것을 특징으로 하는 반도체 소자.
  16. 제14항에 있어서, 상기 소스/드레인 보호층은 상기 나노시트 적층 구조물들의 측벽 부분 및 모서리 부분에 배치되어 있는 것을 특징으로 하는 반도체 소자.
  17. 제14항에 있어서, 상기 복수의 서브 게이트 부분들의 두께는 서로 동일한 것을 특징으로 하는 반도체 소자.
  18. 제14항에 있어서, 상기 복수의 서브 게이트 부분들의 길이는, 상기 메인 게이트 부분의 길이보다 큰 값을 가지는 것을 특징으로 하는 반도체 소자.
  19. 기판으로부터 돌출되고 제1 방향으로 연장되는 핀형 활성 영역;
    상기 핀형 활성 영역의 상면으로부터 이격된 위치에서 상기 핀형 활성 영역의 상면과 평행하게 서로 이격되어 복수개 적층되어 있고 각각 채널 영역을 가지는 복수의 나노시트들;
    상기 핀형 활성 영역 상에서 상기 제1 방향과 교차하는 제2 방향으로 연장되고 상기 복수의 나노시트들 각각의 적어도 일부를 포위하되, 상기 나노시트의 위의 메인 게이트 부분과, 상기 나노시트 및 상기 핀형 활성 영역의 사이에 위치하는 복수의 서브 게이트 부분들을 포함하는 게이트;
    상기 나노시트와 상기 게이트 사이에 개재된 게이트 절연막;
    상기 나노시트들의 양측의 상기 핀형 활성 영역 상에 배치된 소스/드레인 영역;
    상기 소스/드레인 영역과 상기 서브 게이트 부분 사이, 및 상기 나노시트들 사이에 배치된 내부 스페이서; 및
    상기 나노시트들의 일측벽에 위치하고 상기 소스/드레인 영역과 상기 나노시트들 사이에 배치되는 소스/드레인 보호층을 포함하는 반도체 소자.
  20. 제25항에 있어서, 상기 소스/드레인 보호층은 상기 나노시트들을 한정하는 외부 스페이서의 일측벽으로부터 리세스된 시트 리세스 부분에 형성된 재성장층(regrowth layer)이고, 상기 내부 스페이서는 상기 복수의 서브 게이트 부분들 각각의 측벽에는 형성되고, 상기 내부 스페이서는 상기 제1 방향으로 상기 게이트를 향하여 불록하게 돌출되어 있는 것을 특징으로 하는 반도체 소자.
KR1020170044400A 2017-04-05 2017-04-05 반도체 소자 KR102400558B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020170044400A KR102400558B1 (ko) 2017-04-05 2017-04-05 반도체 소자
US15/823,961 US10522616B2 (en) 2017-04-05 2017-11-28 Semiconductor device
CN201711321445.XA CN108695377B (zh) 2017-04-05 2017-12-12 半导体装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170044400A KR102400558B1 (ko) 2017-04-05 2017-04-05 반도체 소자

Publications (2)

Publication Number Publication Date
KR20180113118A true KR20180113118A (ko) 2018-10-15
KR102400558B1 KR102400558B1 (ko) 2022-05-20

Family

ID=63711192

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170044400A KR102400558B1 (ko) 2017-04-05 2017-04-05 반도체 소자

Country Status (3)

Country Link
US (1) US10522616B2 (ko)
KR (1) KR102400558B1 (ko)
CN (1) CN108695377B (ko)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210112218A (ko) * 2020-03-03 2021-09-14 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자용 패시베이션 층
KR20210112216A (ko) * 2020-03-03 2021-09-14 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스를 위한 스페이서 구조물
KR20210122629A (ko) * 2020-03-31 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 소스/드레인 피처들
KR20210122643A (ko) * 2020-03-30 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 최적화된 게이트 스페이서 및 게이트 단부 유전체를 갖는 게이트 올 어라운드 디바이스
KR20210122635A (ko) * 2020-03-31 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다중-게이트 트랜지스터 구조
KR20210130624A (ko) * 2020-04-21 2021-11-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 나노 구조체를 갖는 트랜지스터
KR20210143637A (ko) * 2020-05-20 2021-11-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 제조 방법
KR20220029359A (ko) * 2020-08-31 2022-03-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에피택셜 피처
KR20220039539A (ko) * 2020-09-22 2022-03-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트 충전재를 위한 무전해 도금 방법
US11764287B2 (en) 2020-03-31 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
US11916132B2 (en) 2020-05-20 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108695382B (zh) 2017-04-07 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
KR102336784B1 (ko) * 2017-06-09 2021-12-07 삼성전자주식회사 반도체 장치
US11222977B2 (en) * 2017-09-26 2022-01-11 Intel Corporation Source/drain diffusion barrier for germanium NMOS transistors
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10553679B2 (en) 2017-12-07 2020-02-04 International Business Machines Corporation Formation of self-limited inner spacer for gate-all-around nanosheet FET
US10600889B2 (en) * 2017-12-22 2020-03-24 International Business Machines Corporation Nanosheet transistors with thin inner spacers and tight pitch gate
US10573521B2 (en) * 2018-01-30 2020-02-25 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching
US11276695B2 (en) * 2018-07-16 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
KR102524803B1 (ko) * 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
US11239363B2 (en) 2019-01-08 2022-02-01 Samsung Electronics Co., Ltd. Semiconductor devices
US11031502B2 (en) 2019-01-08 2021-06-08 Samsung Electronics Co., Ltd. Semiconductor devices
CN111477548B (zh) * 2019-01-23 2023-09-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US10957799B2 (en) 2019-02-27 2021-03-23 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10903369B2 (en) * 2019-02-27 2021-01-26 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10833168B2 (en) * 2019-03-08 2020-11-10 International Business Machines Corporation Complementary metal-oxide-semiconductor (CMOS) nanosheet devices with epitaxial source/drains and replacement metal gate structures
US11094800B2 (en) * 2019-03-20 2021-08-17 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
CN111863963A (zh) * 2019-04-24 2020-10-30 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR20200132436A (ko) 2019-05-17 2020-11-25 삼성전자주식회사 반도체 장치
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11430892B2 (en) * 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
CN112018113A (zh) * 2019-05-29 2020-12-01 台湾积体电路制造股份有限公司 半导体装置及其形成方法
KR20200137405A (ko) 2019-05-30 2020-12-09 삼성전자주식회사 반도체 장치
US11239244B2 (en) 2019-06-27 2022-02-01 Taiwan Semiconductor Manufacturing Company Limited Partial buried insulator nano-sheet device
TWI790452B (zh) * 2019-06-27 2023-01-21 台灣積體電路製造股份有限公司 半導體裝置和其製造方法、以及靜態隨機存取記憶體裝置
US11081568B2 (en) 2019-07-22 2021-08-03 International Business Machines Corporation Protective bilayer inner spacer for nanosheet devices
US11476166B2 (en) * 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
US11430891B2 (en) 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same
JP2021048188A (ja) * 2019-09-17 2021-03-25 キオクシア株式会社 半導体記憶装置
US11404417B2 (en) * 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
DE102020119428A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around-vorrichtungen mit optimierten gateabstandhaltern und gate-ende-dielektrikum
EP3907179A1 (en) * 2020-05-08 2021-11-10 Imec VZW Counteracting semiconductor material loss during semiconductor structure formation
US11393924B2 (en) * 2020-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with high contact area
KR20210145334A (ko) 2020-05-25 2021-12-02 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR20220010843A (ko) 2020-07-20 2022-01-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11610977B2 (en) * 2020-07-28 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming nano-sheet-based devices having inner spacer structures with different widths
US11508621B2 (en) * 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
EP4009377A1 (en) * 2020-12-07 2022-06-08 Samsung Electronics Co., Ltd. Semiconductor device
US20230017036A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Inner spacer for a multi-gate device and related methods
KR20230037989A (ko) * 2021-09-10 2023-03-17 삼성전자주식회사 멀티 브릿지 채널 전계 효과 트랜지스터 및 그 제조 방법
US11804522B2 (en) * 2021-12-15 2023-10-31 International Business Machines Corporation Sidewall epitaxy encapsulation for nanosheet I/O device
KR20230164831A (ko) * 2022-05-26 2023-12-05 삼성전자주식회사 반도체 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100295021A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
KR20140097521A (ko) * 2011-12-23 2014-08-06 인텔 코오퍼레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
KR20150142632A (ko) * 2014-06-11 2015-12-22 삼성전자주식회사 결정성의 다중-나노시트 스트레인 채널 전계 효과 트랜지스터 및 그 제조 방법
US20160111513A1 (en) * 2014-06-23 2016-04-21 Stmicroelectronics, Inc. Multi-channel gate-all-around fet
KR20160136290A (ko) * 2014-03-24 2016-11-29 인텔 코포레이션 나노와이어 디바이스들을 위한 내부 스페이서들을 제조하는 집적 방법들

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642115B1 (en) 2000-05-15 2003-11-04 International Business Machines Corporation Double-gate FET with planarized surfaces and self-aligned silicides
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
WO2009081345A1 (en) * 2007-12-21 2009-07-02 Nxp B.V. Improved manufacturing method for planar independent-gate or gate-all-around transistors
US8674342B2 (en) 2012-02-27 2014-03-18 International Business Machines Corporation Pad-less gate-all around semiconductor nanowire FETs on bulk semiconductor wafers
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
DE112013006527T5 (de) 2013-03-15 2015-10-15 Intel Corporation Nanodrahttransistor mit Unterschicht-Ätzstopps
US9318579B2 (en) * 2014-06-10 2016-04-19 Stmicroelectronics, Inc. Method for making a semiconductor device while avoiding nodules on a gate
US9881993B2 (en) 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9647098B2 (en) 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
KR102214023B1 (ko) * 2014-12-03 2021-02-09 삼성전자주식회사 반도체 장치
US9741811B2 (en) 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
US9882026B2 (en) * 2015-01-13 2018-01-30 Tokyo Electron Limited Method for forming a nanowire structure
KR102455149B1 (ko) * 2015-05-06 2022-10-18 삼성전자주식회사 반도체 소자의 제조 방법
US10134840B2 (en) * 2015-06-15 2018-11-20 International Business Machines Corporation Series resistance reduction in vertically stacked silicon nanowire transistors
EP3127862B1 (en) * 2015-08-06 2018-04-18 IMEC vzw A method of manufacturing a gate-all-around nanowire device comprising two different nanowires
KR102315275B1 (ko) 2015-10-15 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9590038B1 (en) * 2015-10-23 2017-03-07 Samsung Electronics Co., Ltd. Semiconductor device having nanowire channel
CN105609421B (zh) * 2015-12-07 2018-12-07 中国科学院微电子研究所 具有自对准栅结构的半导体器件及其制造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100295021A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
KR20140097521A (ko) * 2011-12-23 2014-08-06 인텔 코오퍼레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
KR20160136290A (ko) * 2014-03-24 2016-11-29 인텔 코포레이션 나노와이어 디바이스들을 위한 내부 스페이서들을 제조하는 집적 방법들
KR20150142632A (ko) * 2014-06-11 2015-12-22 삼성전자주식회사 결정성의 다중-나노시트 스트레인 채널 전계 효과 트랜지스터 및 그 제조 방법
US20160111513A1 (en) * 2014-06-23 2016-04-21 Stmicroelectronics, Inc. Multi-channel gate-all-around fet

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
KR20210112216A (ko) * 2020-03-03 2021-09-14 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스를 위한 스페이서 구조물
TWI759996B (zh) * 2020-03-03 2022-04-01 台灣積體電路製造股份有限公司 半導體裝置與其製造方法
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11881530B2 (en) 2020-03-03 2024-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structures for semiconductor devices
KR20210112218A (ko) * 2020-03-03 2021-09-14 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자용 패시베이션 층
US11929422B2 (en) 2020-03-03 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
KR20210122643A (ko) * 2020-03-30 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 최적화된 게이트 스페이서 및 게이트 단부 유전체를 갖는 게이트 올 어라운드 디바이스
KR20210122635A (ko) * 2020-03-31 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다중-게이트 트랜지스터 구조
US11854791B2 (en) 2020-03-31 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal source/drain features
KR20210122629A (ko) * 2020-03-31 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 소스/드레인 피처들
US11764287B2 (en) 2020-03-31 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
US11424338B2 (en) 2020-03-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal source/drain features
KR20210130624A (ko) * 2020-04-21 2021-11-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 나노 구조체를 갖는 트랜지스터
US11417766B2 (en) 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11908942B2 (en) 2020-04-21 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11437492B2 (en) 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11916132B2 (en) 2020-05-20 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
KR20210143637A (ko) * 2020-05-20 2021-11-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 제조 방법
KR20220029359A (ko) * 2020-08-31 2022-03-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에피택셜 피처
US11699740B2 (en) 2020-09-22 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Electroless plating method for metal gate fill
KR20220039539A (ko) * 2020-09-22 2022-03-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트 충전재를 위한 무전해 도금 방법

Also Published As

Publication number Publication date
US10522616B2 (en) 2019-12-31
KR102400558B1 (ko) 2022-05-20
US20180294331A1 (en) 2018-10-11
CN108695377A (zh) 2018-10-23
CN108695377B (zh) 2024-02-23

Similar Documents

Publication Publication Date Title
KR102400558B1 (ko) 반도체 소자
US11037926B2 (en) Semiconductor device
US10096688B2 (en) Integrated circuit device and method of manufacturing the same
KR102435521B1 (ko) 반도체 소자
US9991264B1 (en) Integrated circuit device and method of manufacturing the same
US10483399B2 (en) Integrated circuit device
KR102426663B1 (ko) 반도체 소자 및 그 제조방법
US10128241B2 (en) Integrated circuit devices
TWI573273B (zh) 半導體裝置及其製造方法
US20160141381A1 (en) Semiconductor devices and methods for fabricating the same
KR20150089911A (ko) 반도체 장치 및 이의 제조 방법
KR20150000546A (ko) 반도체 소자 및 이의 제조 방법
US11575044B2 (en) Integrated circuit device
TWI843000B (zh) 積體電路裝置
KR20240002073A (ko) 집적 회로 반도체 소자

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant