TWI759996B - 半導體裝置與其製造方法 - Google Patents

半導體裝置與其製造方法 Download PDF

Info

Publication number
TWI759996B
TWI759996B TW109143260A TW109143260A TWI759996B TW I759996 B TWI759996 B TW I759996B TW 109143260 A TW109143260 A TW 109143260A TW 109143260 A TW109143260 A TW 109143260A TW I759996 B TWI759996 B TW I759996B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor
fin
region
disposed
Prior art date
Application number
TW109143260A
Other languages
English (en)
Other versions
TW202135145A (zh
Inventor
彭成毅
李京樺
李松柏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202135145A publication Critical patent/TW202135145A/zh
Application granted granted Critical
Publication of TWI759996B publication Critical patent/TWI759996B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate

Abstract

本揭露提供一種具有在場效電晶體裝置主動區表面上之鈍化層的半導體裝置與其製造方法。此裝置包含基材、設置於基材上之第一源極/汲極(S/D)區及第二源極/汲極區、設置於第一源極/汲極及第二源極/汲極區之間的奈米結構通道區、鈍化層、及包圍環繞奈米結構通道區之奈米片結構。每一源極/汲極區具有以交錯型式排列之第一半導體層及第二半導體層的堆疊,及設置於第一半導體層及第二半導體層的堆疊上的磊晶區。鈍化層的第一部分係設置在磊晶區與第一半導體層及第二半導體層的堆疊之間,以及鈍化層的第二部分係設置在奈米結構通道區之側壁上。

Description

半導體裝置與其製造方法
本揭露係關於一種半導體裝置與其製造方法,特別是關於一種具有在場效電晶體裝置主動區表面上之鈍化層的半導體裝置與其製造方法。
隨著半導體製造技術的進步,對於較高儲存容量、較快處理系統、及較好效能的需求已正在增加中。為了滿足這些需求,半導體工業持續縮小半導體裝置的尺寸,例如金屬氧化物半導體場效電晶體(MOSFETs),其中包含平面MOSFETs以及鰭式場效電晶體(finFETs)。上述尺寸縮小增加了半導體製程的複雜度。
本揭露之一態樣提供一種半導體裝置,包含:基材、設置於基材上的第一源極/汲極(S/D)區和第二源極/汲極區、設置於第一源極/汲極區和第二源極/汲極區之間的奈米結構通道區、鈍化層、包圍環繞奈米結構通道區的奈米 片(NANOSHEET;NS)結構。每一個源極/汲極區皆具有以交錯型式排列之第一半導體層和第二半導體層的堆疊,以及具有設置在第一半導體層和第二半導體層的堆疊上的磊晶區。在磊晶區與第一半導體層和第二半導體層的堆疊之間設置鈍化層的第一部分,以及在奈米結構通道區的側壁上設置鈍化層的第二部分。
本揭露之另一態樣提供一種半導體裝置,包含:第一場效電晶體(FET)和第二場效電晶體。第一場效電晶體具有設置在基材上的第一鰭片結構、包圍環繞第一鰭片結構的第一鈍化層、以及設置在第一鈍化層上且具有第一導電性的第一磊晶區。第二場效電晶體具有鄰設於基材上之第一鰭片結構的第二鰭片結構、包圍環繞第二鰭片結構的第二鈍化層、以及設置於第二鈍化層上且具有第二導電性的第二磊晶區。其中第一導電性與第二導電性彼此相異。
本揭露之又一態樣提供製造此半導體裝置的方法,包含:形成具有以交錯型式排列之第一半導體層和第二半導體層的堆疊之鰭片結構在基材上、沉積鈍化層在基材和鰭片結構上、形成磊晶區在鰭片結構的第一部份上、形成奈米結構通道區在鰭片結構的第二部份中、以及形成奈米片結構包圍環繞奈米結構通道區。
100:半導體裝置
102A、102B:場效電晶體
106:基材
108:堆疊層
108A、108B:鰭片結構
108A1、108B1:鰭片基部
108A2、108B2:鰭片頂部
109A、109B:鈍化層
110A、110B:磊晶鰭片區
111:間隙
112A、112B:閘極結構
112A*、112B*:多晶矽結構
114A、114B:間隙壁
116:蝕刻終止層
118:層間介電層
120、120A、120B:第一半導體層
122、122A、122B:第二半導體層
128:閘極介電層
130A、130B:功函數金屬層
132A、132B:閘極金屬填充層
138:淺渠隔離區
138a:氮化層和/或氧化層
138b:絕緣層
300:方法
305、310、315、320、325、330、335、340:操作
740A、740B:保護性氧化層
742A、742B:硬遮罩層
743:高深寬比空間
1046、1250:光阻層
1148、1352:開口
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法, 許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。
[圖1A]及[圖1B]-[圖1D]係繪示根據本揭露之一些實施例之具有鈍化層的半導體裝置的等角視圖及剖面視圖。
[圖1E]及[圖1F]-[圖1G]係繪示根據本揭露之一些實施例之具有鈍化層的半導體裝置的等角視圖及剖面視圖。
[圖2A]-[圖2H]係繪示根據本揭露之一些實施例之具有鈍化層之半導體裝置的不同通道區型態的剖面視圖。
[圖3]係繪示根據本揭露之一些實施例之製造具有鈍化層的半導體裝置的方法流程圖。
[圖4A]-[圖13A]係繪示根據本揭露之一些實施例之具有鈍化層的半導體裝置在各種製程階段的等角視圖。
[圖4B]-[圖13B]係繪示根據本揭露之一些實施例之具有鈍化層的半導體裝置在各種製程階段的剖面視圖。 以下將針對說明性實施例請搭配參考圖式進行描述。在圖示中,類似的參考數字普遍象徵單元彼此相同、功能性相似,和/或元件結構性相似。
以下揭露提供許多不同實施例或例示,以實施申請標的之不同特徵。以下敘述之成份和排列方式的特定例示 是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的製程描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。而本文使用的是,第一特徵形成在第二特徵之上或上方代表第一特徵和第二特徵為直接接觸。除此之外,本揭露在各種例示中重覆參考數值及/或字母。此重覆的目的是為了使說明簡化且清晰易懂,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的元素或特徵和其他元素或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含裝置在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以據此解讀。
必須注意的是,說明書中參考的「一個實施例」、「一實施例」、「一例示實施例」、「例式性的」等,係指所述之實施例可包含特定特徵、結構或是特色,但每個實施例並不一定需要包含此特定特徵、結構或是特色。此外,這些語句不必然意指相同實施例。再者,當一個特定的特徵、結構或特性的描述係連結一實施例時,無論是 否明確的描述,連結其他實施例而對前述的特徵、結構或特性造成影響亦在本領域中熟於此技藝者的知識範圍內。
必須了解的是,在此所使用之語句與用語係為了敘述的目的,而非要構成限制,以使相關技藝中熟於此技藝者可參照本文的教導而對本文所使用的語句與用語進行解釋。
在此所使用的用語「蝕刻選擇性」,係指在相同蝕刻條件下,二種不同材料之蝕刻速率的比值。
在此所使用的用語「沉積選擇性」,係指在相同沉積條件下,二種不同材料或表面上之沉積速率的比值。
在此所使用的用語「高k」,係指高介電常數。然而,在半導體裝置結構及製程的領域中,高k係指介電常數大於二氧化矽的介電常數(即大於3.9)。
在此所使用的用語「P型」定義已被P型摻質所摻雜(例如:磷)的結構、層、和/或區域。
在此所使用的用語「N型」已被N型摻質所摻雜(例如:硼)的結構、層、和/或區域。
在一些實施例中,用語「大約」與「大致」係指在一個給定的數值的百分之五內變動(例如:此數值的±1%、±2%、±3%、±4%、以及±5%)。這些數值僅是做為例示例子,並無意圖構成限制。可理解的是,用語「大約」與「大致」可意指數值的百分比,如相關技藝中熟習此技藝者參照本文的教導所解釋。
在此所揭露的鰭式結構可被任何合適的方法所圖 案化,例如:鰭式結構可被一道或多道光學微影製程所圖案化,其包含雙重圖案化或多重圖案化製程。通常,雙重圖案或多重圖案微影製程結合了光學微影製程與自我對準製程,以產生比其他可獲取的方法(例如:使用單一直射式光學微影製程)具有更小間距的圖案。例如:在一些實施例中,犧牲層係被形成在基材上,並被光學微影製程圖案化。使用自我準直製程沿著被圖案化的犧牲層邊緣形成間隙壁。接著,移除犧牲層,然後剩餘的間隙壁可被用來圖案化鰭式結構。
本揭露提供例示性結構與方法,以減少場效電晶體(例如:鰭式場效電晶體、閘極全環繞式場效電晶體、金氧半場效電晶體等)裝置之主動區(例如:通道區和/或源極/汲極區)中的電阻。減少主動區中的電阻可增加FET裝置的驅動電流而改善FET裝置的性能。
此例示性結構與方法係提供鈍化層在FET裝置的主動區上,以改善主動區的表面品質。此表面品質係藉由鈍化層緩和表面缺陷而被改善。主動區上的表面缺陷可為由主動區表面上的懸鍵所產生之空位(vacancies)形式。在裝置運作期間,這些空位可捕獲移動穿越FET裝置主動區的電荷載體,而減少FET裝置的驅動電流。鈍化層可與這些懸鍵反應,以減少或消除在主動區表面上之懸鍵誘發的缺陷。相較於在其主動區上不具有此種鈍化層之FET裝置的驅動電流,在此所揭露之藉由鈍化層消除FET裝置主動區上的表面缺陷可使FET裝置的驅動電流改善約20% 至約50%。在一些實施例中,鈍化層包含可與主動區表面上的懸鍵發生反應的氟、氮、氫、和/或氯原子,以減少或消除主動區表面上之空位誘發的缺陷。
根據一些實施例,參考圖1A-1D來描述具有場效電晶體102A-102B的半導體裝置100。根據一些實施例,圖1A係繪示半導體裝置100的等角視圖。根據一些實施例,圖1B-1D係繪示分別沿著切線B-B、C-C、及D-D觀之的半導體裝置100的剖面視圖。在一些實施例中,場效電晶體102A-102B可皆為P型場效電晶體(PFETs)或N型場效電晶體(NFETs),或分別每一種導電性的場效電晶體。雖然參考圖1A-1D只討論二個FETs,但半導體裝置100可具有任意個數的場效電晶體。除非有另外提及,對於具有相同標號之場效電晶體102A-102B的元件的討論彼此互相適用。半導體裝置100的等角視圖與剖面視圖係繪示以說明的目的,而可能並未按照比例繪示。
請參照圖1A-1D,場效電晶體102A-102B可被形成在基材106上。基材106可為半導體材料,例如:矽,但並未受限於此。在一些實施例中,基材106包含結晶矽基材(例如:晶圓)。在一些實施例中,基材106包含(i)元素半導體,例如:鍺(Ge);(ii)化合物半導體包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦,和/或III-V族半導體材料;(iii)合金半導體包含矽鍺(SiGe)、矽鍺碳、鍺錫、矽鍺錫、磷砷化鎵、磷化銦鎵、砷化銦鎵、磷砷化銦鎵、砷化銦鋁,和/或砷化鎵鋁;(iv)絕緣層上矽結 構(SOI);(v)絕緣層上矽鍺結構(SiGeOI);(vi)絕緣層上鍺結構(GeOI);(vii)或其組合。再者,基材106可依據設計需求(例如:P型基材或N型基材)進行摻雜。在一些實施例中,基材106可被P型摻質(例如:硼、銦、鋁、或鎵)或是N型摻質(例如:磷或砷)所摻雜。
場效電晶體102A-102B分別包含設置在基材106上的鰭片結構108A-108B、設置在鰭片結構108A-108B上的鈍化層109A-109B、設置在鈍化層109A-109B上的磊晶鰭片區110A-110B、設置在未被磊晶鰭片區110A-110B覆蓋之部分鰭片結構108A-108B上的閘極結構112A-112B(亦可稱為閘極全環繞結構112A-112B或奈米片結構112A-112B)、以及設置在鈍化層109A-109B與閘極結構112A-112B上的間隙壁114A-114B。
如圖1C-1D所示,鰭片結構108A-108B可分別包含鰭片基部108A1-108B1,以及設置在鰭片基部108A1-108B1上的鰭片頂部108A2-108B2。在一些實施例中,鰭片基部108A1-108B1可包含與基材106相似的材料,並可藉由基材106的光學微影圖案化與蝕刻來形成鰭片基部108A1-108B1。鰭片頂部108A2-108B2可包含以交錯型式堆疊之多個第一半導體層120和多個第二半導體層122。每一個第一半導體層120可包(i)位於磊晶鰭片區110A-110B下方的第一鰭片區120A;以及(ii)位於閘極結構112A下方之場效電晶體102A中和被 蝕刻形成閘極結構112B前之場效電晶體102B中(未繪示於圖1A-1D,繪示於圖5A)的第二鰭片區120B。進一步細節將於以下描述。類似地,每一個第二半導體層122包含(i)位於磊晶鰭片區110A-110B下方的第一鰭片區122A;以及(ii)位於閘極結構112B下方之場效電晶體102B中和被蝕刻形成閘極結構112A前之場效電晶體102A中(未繪示於圖1A-1D,繪示於圖5A)的第二鰭片區120A。進一步細節將於以下描述。在形成閘極結構112A-112B後,第二鰭片區120B與及122B可分別被稱為場效電晶體102A-102B的奈米結構通道區120B-122B,如圖1B-1D所示。
第一半導體層120及第二半導體層122可被磊晶地成長,並可包含彼此相異的半導體材料。在一些實施例中,第一半導體層120及第二半導體層122可包含氧化速率和/或蝕刻選擇比彼此相異的半導體材料。在一些實施例中,第一半導體層120及第二半導體層122可包含與基材106相似或相異的半導體材料。第一半導體層120及第二半導體層122可包含(i)元素半導體,例如:矽或鍺;(ii)包含III-V族半導體材料的化合物半導體;(iii)包含矽鍺、矽錫、或矽鍺錫的合金半導體;(iv)或其組合。在一些實施例中,若半導體裝置100為互補式金屬氧化物半導體(CMOS)裝置,則第一半導體層120可包含用以形成N型場效電晶體102A的矽,及用以形成P型場效電晶體102B的矽鍺;或第一半導體層120可包含用以形成P型場效電 晶體102A的矽鍺,及用以形成N型場效電晶體102B的矽。在一些實施例中,第一半導體層120及第二半導體層122二者皆可包含用以形成N型場效電晶體102A-102B的矽,或用以形成P型場效電晶體102A-102B的矽鍺。在一些實施例中,第一半導體層120及第二半導體層122可包含矽鍺,其中鍺含量的範圍係介於約25原子百分比至約50原子百分比,而其餘之原子百分比含量為矽,或可包含不具任何實質數量之鍺的矽。第一半導體層120及第二半導體層122的半導體材料可為未摻雜,或在其磊晶成長製程期間臨場(in-situ)摻雜(i)P型摻質,例如:硼、銦、或鎵;和/或(ii)N型摻質,例如:磷或砷。
請參照圖1B,奈米結構通道區120B及122B分別具有沿著Z軸方向的垂直尺寸H1與H2(例如:厚度或直徑),其範圍介於約5nm至約30nm;及分別具有沿著Y軸方向的水平尺寸W1與W2(例如:寬度或直徑),其範圍介於約5nm至約30nm。H1/W1的比值與H2/W2的比值可介於約0.2至約5的範圍。雖然圖1B中繪示的奈米結構通道區120B及122B的剖面為長方形,奈米結構通道區120B及122B的剖面亦可具有其他幾何形狀(例如:圓形、橢圓形、三角形、或是多邊形)。又,奈米結構通道區120B及122B可分別具有沿著X軸方向的水平尺寸L1(圖1D)與L2(圖1C),其範圍可介於約10nm至約100nm。L1/H1的比值與L2/H2的比值介於約2至約20的範圍。在一些實施例中,H1與H2、W1與W2、以 及L1與L2的尺寸可彼此相等或相異。在一些實施例中,H1/W1與H2/W2的比值,以及L1/H1與L2/H2的比值可彼此相等相等或相異。
在一些實施例中,鰭片基部108A1-108B1與鰭片頂部108A2-108B2分別具有沿著Z軸方向(例如:高度)的垂直尺寸,其範圍介於約40nm至約60nm。鰭片基部108A1-108B1與鰭片頂部108A2-108B2的垂直尺寸可彼此相等或相異,並具有分別使鰭片結構108A-108B的總高度的範圍介於約80nm至120nm的數值。
請參照圖1A-1D,鈍化層109A-109B可分別被設置在磊晶鰭片區110A-110B下方的鰭片頂部108A2-108B2的表面上,並在鰭片基部108A1-108B1的側表面上。此外,鈍化層109A-109B可分別被設置在奈米結構通道區120B及122B的側表面上。在一些實施例中,鈍化層109A可被設置在第一半導體層120最上層的頂表面上,如圖1D所示;鈍化層109B可被設置在鰭片區120A最上層的頂表面上,如圖1C所繪示。鈍化層109A-109B可改善被這些鈍化層所覆蓋之鰭片結構108A-108B之多個表面的表面品質,其係藉由減少或消除這些表面上懸鍵所產生的空位。在其運作期間,這些空位可捕獲電荷載體並減少場效電晶體102A-102B的驅動電流。相較於不具有鈍化層(例如:鈍化層109A-109B)之場效電晶體裝置,減少或消除這些空位可使場效電晶體 102A-102B的驅動電流增加約20%至約50%。
在一些實施例中,鈍化層109A-109B可為氮化物、氧化物、氟化物、氯化物、和/或硫化物薄膜。在一些實施例中,鈍化層109A-109B可包含氟、氯、氮、氧、氫、氘、和/或硫原子,其可與懸鍵形成鍵結而減少或消除如上所述之鰭片結構108A-108B表面上的空位。鈍化層109A-109B實質共形地沉積在這些鰭片結構108A-108B的表面上,並具有範圍約5Å至約5nm的厚度。
請參照圖1A及1C-1D,可分別在未位於閘極結構112A-112B下之鰭片頂部108A2-108B2的區域上成長磊晶鰭片區110A-110B。在一些實施例中,如圖1E-1G所示,在去除未在閘極結構112B-112A下方的鰭片頂部108B2-108A2後,可在鰭片基部108B1-108-A1上磊晶成長磊晶鰭片區110B-110A。磊晶鰭片區110A-110B可包含彼此相似或相異之磊晶成長的半導體材料。在一些實施例中,磊晶成長的半導體材料可包含與基材106的材料相似或相異之材料。磊晶鰭片區110A-110B可分別具有沿著鰭片頂部108A2-108B2之側表面的厚度,其範圍介於約3nm至約6nm。雖然圖1C-1D中繪示之磊晶鰭片區110A-110B的剖面為三角形,但磊晶鰭片區110A-110B的剖面亦可具有其他幾何形狀(例如:長方形、半圓形、或是多邊形)。
對於P型場效電晶體102A-102B或N型場效 電晶體102A-102B而言,磊晶鰭片區110A-110B可分別為P型或N型。在一些實施例中,個別的場效電晶體102A-102B的磊晶鰭片區110A-110B可為彼此相同或相對之摻雜型態。
P型磊晶區110A-110B可包含SiGe、SiGeB、GeB、SiGeSnB、III-V族化合物半導體、或其組合,及介於約1x1020atoms/cm3至約1x1021atoms/cm3範圍的摻質濃度。在一些實施例中,每一個P型磊晶區110A-110B可具有複數個次區(未繪示),其可包含SiGe,並可基於例如:摻質濃度、磊晶成長的製程條件、和/或相對於矽之鍺的相對濃度而彼此相異。每一次區具有彼此相似或相異的厚度,其可介於約0.5nm至約5nm的範圍。在一些實施例中,最靠近鰭片頂部108A2-108B2之次區中鍺的原子百分比小於最遠離鰭片頂部108A2-108B2之次區中鍺的原子百分比。在一些實施例中,最靠近鰭片頂部108A2-108B2之次區可包含介於約15原子百分比至約35原子百分比範圍的鍺,最遠離鰭片頂部108A2-108B2之次區可包含介於約25原子百分比至約50原子百分比範圍的鍺,而次區中之其餘原子百分比含量為矽。
根據一些實施例,P型磊晶鰭片區110A-110B之複數個次區可具有不同的P型摻質濃度。例如:最靠近鰭片頂部108A2-108B2之次區可為未摻雜,或可具有低於最遠離鰭片頂部108A2-108B2之次區的摻質濃度(例 如:摻質濃度為介於約1x1020atoms/cm3至約3x1022atoms/cm3的範圍)的摻質濃度(例如:摻質濃度為小於8x1020atoms/cm3)。
在一些實施例中,N型磊晶鰭片區110A-110B具有複數個N型次區(未繪示)。最靠近鰭片頂部108A2-108B2之第一N型次區具有包含SiAs、SiC、或SiCP之材料,其摻質濃度係介於約1x1020atoms/cm3至約1x1021atoms/cm3的範圍,其厚度範圍為介於約1nm至約3nm。第二N型次區係設置於第一N型次區上且具有包含SiP之材料,其摻質濃度範圍係介於約1x1020atoms/cm3至約1x1022atoms/cm3。第三N型次區設置於第二N型次區上,並具有與第一N型次屬區之材料組成與厚度相似的材料。針對複數個N型和/或P型次區的其他材料、厚度、以及摻質濃度皆在本揭露之範圍與精神之內。
沿著其下方之第一鰭片區120A及120B的磊晶鰭片區110A-110B可形成場效電晶體102A-102B的源極/汲極(S/D)區。奈米結構通道區120B及122B可分別被插入至場效電晶體102A-102B的一對源極/汲極區之間,如圖1C-1D所示。
請參照圖1B-1D,閘極結構112A-112B可為多層結構,並被設置在未被磊晶鰭片區110A-110B覆蓋之鰭片頂部108A2-108B2的頂表面與側壁區上。在一些實施例中,每一個奈米結構通道區120B及122B分別被閘 極結構112A-112B包圍環繞,或分別被一或多個閘極結構112A-112B層包圍環繞,其中閘極結構112A-112B可稱為閘極全環繞(GAA)結構或水平閘極全環繞(horizontal gate-all-around;HGAA)結構,而場效電晶體102A-102B可稱為GAA FETs 102A-102B。在閘極結構112A-112B之間的間隙111並未依照比例繪示,且閘極結構112A-112B可以一任意距離彼此分離。在一些實施例中,場效電晶體102A-102B可具有一共用閘極結構,其係設置在頂部類似於閘極結構112A-112B鰭片頂部108A2-108B2上。
閘極結構112A-112B可分別包含閘極介電層128A-128B、功函數金屬層130A-130B、以及閘極金屬填充層132A-132B。如圖1B所示,閘極介電層128A包圍環繞每一個奈米結構通道區120B,並填充相鄰之奈米結構通道區120B間的空間,因而使奈米結構通道區120B彼此電性絕緣,並與導電閘極功函數金屬層130A及閘極金屬填充層132A電性絕緣,以避免裝置運作期間閘極結構112A與場效電晶體102A的源極/汲極區間的短路。類似地,閘極介電層128B包圍環繞每一個奈米結構通道區122B,並填充相鄰之奈米結構通道區122B間的空間,因而使奈米結構通道區122B彼此電性絕緣,並與導電閘極功函數金屬層130B及閘極金屬填充層132B電性絕緣,以避免裝置運作期間閘極結構112B與場效電晶體102B的源極/汲極區間的短路。
每一個閘極介電層128A-128B可具有介於約1nm至約5nm範圍厚度,並可包含(i)氧化矽層、氮化矽層、和/或氮氧化矽層;(ii)高介電常數材料,例如:氧化鉿(HfO2)、氧化鈦(TiO2)、氧化鋯鉿(HfZrO)、氧化鉭(Ta2O3)、鉿矽酸鹽(HfSiO4)、氧化鋯(ZrO2),或是鋯矽酸鹽(ZrSiO2);(iii)具有下列元素之氧化物的高介電常數材料,例如:鋰(Li)、鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鈧(Sc)、釔(Y)、鋯(Zr)、鋁(Al)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb),或是鎦(Lu);(iv)或其組合。
如圖1B所示,一或多個奈米結構通道區120B及122B可被功函數金屬層130A-130B與閘極金屬填充層132A-132B所環繞包圍。閘極功函數金屬層130A-130B包含單一金屬層或堆疊的金屬層。堆疊的金屬層包含功函數值彼此相等或相異的金屬。在一些實施例中,每一個閘極功函數金屬層130A-130B包含鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)、銀(Ag)、碳化鉭(TaC)、氮矽化鉭(TaSiN)、氮碳化鉭(TaCN)、鋁鈦(TiAl)、氮化鋁鈦(TiAlN)、氮化鎢(WN)、合金金屬、和/或其組合。在一些實施例中,每一個閘極功函數金屬層130可包含摻雜鋁的金屬,例如:摻雜鋁的鈦、摻雜鋁的氮化鈦、摻雜鋁的鉭、或摻雜鋁的氮化鉭。在一些實 施例中,每一個閘極功函數金屬層130可具有介於約2nm至約15nm範圍的厚度。
在一些實施例中,閘極阻障層(未繪示)可分別被設置在閘極介電層128A-128B與閘極功函數金屬層130A-130B之間閘極阻障層。閘極阻障層可作為用來形成後續的閘極功函數金屬層130A-130B之成核層,和/或協助防止金屬(例如:鋁)從閘極功函數金屬層130A-130B實質地擴散至下方各層(例如:閘極介電層128A-128B)。閘極阻障層可包含鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、或其他合適擴散與埋藏的材料。在一些實施例中,閘極阻障層可包含實質無氟之金屬或無氟之含金屬薄膜。此實質無氟之金屬或無氟之含金屬薄膜可包含在離子、原子、和/或分子形式上之少於5原子百分比的氟污染物。在一些實施例中,閘極阻障層具有介於約1nm至約10nm範圍的厚度。
每一個閘極金屬填充層132A-132B可包含單一金屬層或堆疊的金屬層。堆疊的金屬層可包含彼此相同或相異的金屬。在一些實施例中,每一個閘極金屬填充層132A-132B可包含合適的導電材料,例如:鈦、銀(Ag)、鋁、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)、鋯、氮化鈦(TiN)、氮化鉭(TaN)、銣(Ru)、鉬(Mo)、氮化鎢(WN)、銅(Cu)、鎢(W)、鈷(Co)、鎳(Ni)、碳化鈦(TiC)、碳化鋁鈦(TiAlC)、碳化鋁鉭(TaAlC)、合金金屬、和/或其組合。雖然繪示的 閘極結構112A-112B彼此相似,但場效電晶體102A-102B仍可具有彼此相異的結構、材料、和/或電性(例如:臨界電壓或功函數)。此外,雖然繪示的閘極結構112A-112B具有水平GAA結構,但其他閘極結構(例如:垂直GAA結構或不具有GAA結構的閘極結構)亦在本揭露之範圍與精神內。
根據一些實施例,間隙壁114A-114B可分別設置在鈍化層109A-109B上,而分別形成閘極結構112A-112B的側壁,並與閘極介電層128A-128B物理性地接觸。間隙壁114A-114B可包含絕緣材料,例如:氧化矽、氮化矽、氮化碳矽(SiCN)、氮氧化碳矽(SiCON)、低介電常數(low-k)材料、或其組合。間隙壁114A-114B可有具有介電常數小於3.9(例如:約3.5、約3.0、或約2.8)的低介電常數材料。在一些實施例中,每一個間隙壁114A-114B可具有介於約2nm至約10nm範圍的厚度。
可使用其他結構組件將場效電晶體102A-102B整合至積體電路中,例如:閘極接觸結構、源極/汲極接觸結構、導電通孔、導電線、內連接金屬層等,為清晰易懂起見此處並未繪示。
請參照圖1A-1D,半導體裝置100更包含蝕刻終止層(ESL)116、層間介電層(ILD)118、以及淺渠隔離(STI)區138。蝕刻終止層116係設置用來保護閘極結構112A-112B和/或磊晶鰭片區110A-110B,例如:在形成層間介電層118和/或源極/汲極的期間(未繪示),可提 供此保護結構。蝕刻終止層116可被設置在間隙壁114A-114B的側壁上與磊晶區110A-110B上。在一些實施例中,蝕刻終止層116可包含例如:氮化矽(SiNx)、氧化矽(SiOx)、氮氧化矽(SiON)、碳化矽(SiC)、氮化碳矽(SiCN)、氮化磷(BN)、氮化磷矽(SiBN)、氮化磷碳矽(SiCBN)、或其組合。在一些實施例中,蝕刻終止層具有介於約3nm至約30nm範圍的厚度。
層間介電層118可被設置在蝕刻終止層116上,並可包含使用合適用於可流動性介電材料(例如:可流動氧化矽、可流動氮化矽、可流動氮氧化矽、可流動碳化矽,或可流動氧化碳矽)的沉積法來沉積的介電材料。在一些實施例中,介電材料可為氧化矽。在一些實施例中,層間介電層118具有介於約50nm至約200nm範圍的厚度。
STI區138係配置用以提供基材106上之場效電晶體102A-102B與其相鄰的場效電晶體(未繪示)間的電性絕緣,和/或與整合或設置在基材106上相鄰的主動和被動元件(未繪示)間的電性絕緣。在一些實施例中,STI區138可包含多個材料層,例如:氮化層和/或氧化層138a,以及設置於氮化物和/或氧化層138a上的絕緣層138b。在一些實施例中,氮化物和/或氧化層138a可避免在STI區138的形成期間鰭片頂頂部108A2-108B2的側壁被氧化。在一些實施例中,絕緣層138b可包含氧化矽、氮化矽、氮氧化矽、摻氟矽玻璃(FSG),低介電常數材料、和/或其他合適的絕緣材料。在一些實施例中,STI區138 具有沿著Z軸的垂直尺寸,其範圍介於約40nm至約200nm。
基於在此的揭露,必須認知到的是,半導體裝置100及其元件(例如:鰭片結構108A-108B、閘極結構112A-112B、磊晶鰭片結構110A-110B、間隙壁114A-114B、以及/或STI區138)的剖面形狀係說明性的,並無意圖成為限制。
圖2A-2F係分別繪示場效電晶體102A-102B沿著圖1A中B-B切線觀之的剖面視圖,且為具有鈍化層109A-109B的不同型態通道區。此外,圖2A-2F繪示鰭片頂部108A2-108B2的區域,其所形成具有在閘極結構112A-112B下方之鈍化層109A-109B的通道區,為求清晰易懂起見其未被繪示。除非提及其他方式,上述有關於圖1A-1D中場效電晶體102A-102B之敘述亦可應用在圖2A-2F的場效電晶體102A-102B。
在一些實施例中,取代圖1B的奈米結構通道區120B及122B,場效電晶體102A-102B的通道區之每一者包含藉由蝕刻基材106而形成的單一鰭片結構(圖2A),或成長於各自之鰭片基部108A1-108B2上的磊晶成長鰭片結構(圖2B)。取代形成在如圖2A之被蝕刻的鰭片結構或如圖2B的磊晶鰭片結構中的場效電晶體102A-102B二者的通道區,或,一個場效電晶體(例如:場效電晶體102A)的通道區可包含被蝕刻的鰭片結構,而另外一個場效電晶體(例如:場效電晶體102B)可包含磊 晶鰭片結構,如圖2C所繪示。在一些實施例中,如圖2D所繪示,場效電晶體102A-102B的通道區可分別包含第二鰭片區120B及122B之堆疊層。在一些實施例中,如圖2E-2F所繪示,其中一個場效電晶體102A-102B的通道區包含第二鰭片區120B及122B之堆疊層,另一個場效電晶體102A-102B的通道區包含奈米結構通道區120B及122B。在一些實施例中,做為取代如圖1B中場效電晶體102A-102B具有不同奈米結構通道區120B及122B,場效電晶體102A-102B二者可具有如圖2G所示之奈米結構通道區120B或如圖2H所示之奈米結構通道區122B。
圖3係繪示根據一些實施例之製造半導體裝置100的例示方法300的流程圖。做為說明之目的,圖3所示的操作將參考圖4A-13A與4B-13B所示之製造半導體裝置100之例示製程來敘述。根據一些實施例,圖4A-13A為在各種製造階段之半導體裝置100的等角視圖,圖4B-13B分別為根據一些實施例沿著圖4A-13A所示之結構中的切線B-B觀之的剖面視圖。取決於特定應用,各個操作可以不同的順序來進行或不進行。應注意的是,方法300可能不會生產出完整的半導體裝置100。因此,可理解的是,可在方法300之前、之間、或之後提供額外的步驟,而在此只會簡短敘述一些其他製程。圖4A-13A與4B-13B中與上述圖1A-1D中具有相同符號的元件係被描述如上。
在操作305中,形成鰭片結構在基材上。例如:可形成具有鰭片基部108A1-108B1和鰭片頂部108A2-108B2的鰭片結構108A-108B(如圖5A-5B所示)在基材106上,如參考圖4A-5B的敘述。形成鰭片結構108A-108B的製程可包含形成堆疊層108在基材106上,如圖4A-4B所示。堆疊層108包含以交錯型式排列之第一半導體層120及第二半導體層122。第一半導體層120及第二半導體層122分別具有沿著Z軸的垂直尺寸H1與H2,其範圍約5nm至約30nm。
每一個第一半導體層120及每一個第二半導體層122可分別磊晶地成長在其下方材料層之上,並可包含彼此互異的半導體材料。在一些實施例中,第一半導體層120及第二半導體層122可包含氧化速率和/或蝕刻選擇比彼此互異的半導體材料。在一些實施例中,第一半導體層120及第二半導體層122可包含與基材106相似或相異的半導體材料。第一半導體層120及第二半導體層122可包含(i)元素半導體,例如:矽或鍺;(ii)包含III-V族半導體材料的化合物半導體;(iii)包含矽鍺、矽錫、或是矽鍺錫的合金半導體;(iv)或其組合。在一些實施例中,第一半導體層120可包含矽,第二半導體層122可包含矽鍺。在一些實施例中,第一半導體層120和第二半導體層122可包含矽鍺,其中鍺含量為約25原子百分比至約50原子百分比,其餘的原子百分比含量則為矽,或包含不具任何實質數量之鍺的矽。
第一半導體層120和第二半導體層122的半導體材料可為未摻雜的,或在其磊晶成長製程期間臨場摻雜(i)P型摻質,例如:硼、銦或鎵;和/或(ii)N型摻質,例如:磷或砷。針對P型臨場摻雜可使用P型摻質前驅物,例如:二硼烷(B2H6)、三氟化硼(BF3)、和/或其他P型摻質前驅物。針對N型臨場摻雜可使用N型摻質前驅物,例如:磷化氫(PH3)、砷化氫(AsH3)、和/或其他N型摻質前驅物。
形成鰭片結構108A-108B的製程更包含:透過形成在圖4A之堆疊層108上的圖案化硬遮罩層(未繪示),來蝕刻圖4A的結構。在一些實施例中,硬遮罩層可包含使用如熱氧化製程所形成的氧化矽層,和/或使用如低壓化學氣相沉積法(LPCVD)或電漿增強化學氣相沉積法(PECVD)所形成的氮化矽層。圖4A之結構的蝕刻可包含乾式蝕刻、濕式蝕刻、或其結合。
乾式蝕刻製程可包含使用具有含氧氣體、含氟氣體(例如:CF4、SF6、CH2F2、CHF3、NF3,和/或C2F6)、含氯氣體(例如:Cl2、CHCl3、CCl4、HCl,和/或BCl3)、含溴氣體(例如:HBr和/或CHBR3)、氨氣(NH3)、含碘氣體、其他合適蝕刻之氣體、和/或電漿、或其組合的蝕刻劑。可使用範圍為約150V至約350V的高偏壓、範圍為約10W(瓦)至約50W的射頻功率、範圍為約5Torr至約50Torr的壓力、範圍為約25℃至約40℃的溫度、以及範圍為約10秒至約40秒的時間,來進行此乾式蝕 刻製程。
濕式蝕刻製程可包含於稀釋氫氟酸(DHF)、氫氧化鉀(KOH)溶液、氨水(NH3)、含氫氟酸(HF)之溶液、硝酸(HNO3)、乙酸(CH3COOH),或其組合中進行蝕刻。
在堆疊層108的蝕刻後,可形成具有鰭片基部108A1-108B1與鰭片頂部108A2-108B2的鰭片結構108A-108B,鰭片頂部108A2-108B2具有分別沿著Z軸介於約40nm至約60nm範圍的垂直尺寸,如圖5A-5B所繪示。形成在鰭片頂部108A2-108B2中的第一半導體層120及第二半導體層122,具有分別沿著Z軸介於約5nm至約30nm範圍的垂直尺寸H3與H4,以及分別沿著Y軸介於約5nm至約50nm範圍的水平尺寸W3與W4,H3/W3與H4/W4的比值係介於約0.2至約5的範圍。在一些實施例中,各別H3、H4、W3、以及W4的尺寸可彼此相等或相異。在一些實施例中,H3/W3的比值與H4/W4的比值可彼此相等或彼此相異。
請參照圖3,在操作310中,形成鈍化層在鰭片結構上。例如:可分別在鰭片結構108A-108B上形成鈍化層109A-109B,如參考圖6A-6B的敘述。形成鈍化層109A-109B在鰭片結構108A-108B上的製程包含:使用在原子層沉積或化學氣相沉積製程中之具有氟、氯、氮、氧、氫、氘、氨、和/或硫化氫(H2S)的一或多種前驅氣體,來全面性地沉積鈍化層109在圖5A的結構上。在毯覆式沉積製程期間,此一或多種前驅氣體可具有約10 sccm(單位時間標準毫升數)至約1500sccm範圍的流速。可在約10Torr至約20大氣壓範圍的壓力、約100℃至約300℃範圍的溫度、以及約10sec至約120min範圍的時間,來進行此毯覆式沉積製程。在鰭片結構108A-108B上之毯覆式沉積的部分鈍化層109亦可分別稱為鈍化層109A-109B。
請參照圖3,在操作315中,形成STI區在鈍化層上。例如:形成STI區318在鈍化層109A-109B上,如參考圖7A-7B的敘述。STI區318的形成可包含(i)沉積氮化物材料層(未繪示)在圖6A的結構上;(ii)沉積氧化物材料層(未繪示)在氮化物材料層上;(iii)沉積絕緣材料層在氧化物材料層上;(iv)退火處理絕緣材料層;(v)化學機械研磨(CMP)氮化物材料層、氧化物材料層及已退火的絕緣材料層;以及(iv)回蝕研磨後的結構,以形成圖7A的STI區318。
可使用合適沉積氮化物與氧化物的合適製程來沉積氮化物與氧化物材料,例如:原子層沉積(ALD)或化學氣相沉積(CVD)。這些氮化物與氧化物材料層可避免在沉積與退火絕緣層期間鰭片頂部108A2-108B2的側壁被氧化。
在一些實施例中,絕緣材料層可包含氧化矽、氮化矽、氮氧化矽、摻氟矽玻璃、或低介電常數材料。在一些實施例中可使用化學氣相沉積製程或高密度電漿(HDP)化學氣相沉積製程,並使用矽甲烷(SiH4)與氧氣(O2)做為 反應前驅物來沉積絕緣材料層。在一些實施例中,可使用次大氣壓化學氣相沉積(SACVD)製程或高縱深比填溝製程(HARP),並使用包含四乙基矽氧烷(tetraethoxysilane;TEOS)和/或臭氧(O3)之製程氣體來沉積絕緣材料層。
在一些實施例中,可使用可流動性化學氣相沉積(FCVD)製程沉積流動性氧化矽,來形成絕緣材料層。濕式退火製程可接著在可流動性化學氣相沉積製程後進行。濕式退火製程可包含在約200℃至約700℃範圍的溫度與約30分鐘至約120分鐘範圍的時間下之蒸氣中對絕緣材料層進行退火。CMP製程可接著在濕式退火製程後進行,以去除部分之氮化物、氧化物及絕緣材料層至與具有鰭片結構108A-108B之頂面的氮化物、氧化物及絕緣材料層的頂表面實質共平面。蝕刻製程可接著在CMP製程後進行,以回蝕氮化物、氧化物及絕緣材料層,而形成圖7A的STI區318。
氮化物、氧化物及絕緣材料層的回蝕可使用乾式蝕刻、濕式蝕刻,或其結合來進行。在一些實施例中,乾式蝕刻製程可包含使用具有氣體混合物的電漿乾式蝕刻,此氣體混合物八氟環丁烷(C4F8)、氬氣(Ar)、氧氣(O2)、及氦氣(He);三氟甲烷(CHF3)與氦氣;四氟化碳(CF4)、二氟甲烷(CH2F2)、氯氣(Cl2)、及氧氣;溴化氫(HBr)、氧氣、及氦氣;或其組合。在一些實施例中,濕式蝕刻製程可包含使用稀釋之氫氟酸(DHF)的處理、氨水-過氧化氫 混合物(APM)、硫酸-過氧化氫混合物(SPM)、熱去離子水(DI water)、或其組合。在一些實施例中,濕式蝕刻製程可包含使用氨水(NH3)與氫氟酸(HF)做為蝕刻劑,並使用惰性氣體,例如:氬、氙(Xe)、氦,或其組合。在一些實施例中,濕式蝕刻製程所使用的HF與NH3的流量範圍分別為約10sccm至約100sccm。在一些實施例中,可在約5m Torr至約100m Torr範圍的壓力與約50℃至約120℃範圍的高溫下進行濕式蝕刻製程。
請參照圖3,在操作320中,形成保護性氧化層在鈍化層上,並形成多晶矽結構在保護性氧化層與STI區上。例如:分別形成保護性氧化層740A-740B在鈍化層109A-109B上,並且分別形成多晶矽結構112A*-112B*在保護性氧化層740A-740B與STI區138上,如參照圖7A-7B的敘述。
形成保護性氧化層740A-740B的製程包含毯覆式沉積氧化物材料層(未繪示)在圖6A的結構上,接著進行高溫退火製程與蝕刻製程。氧化物材料層可包含氧化矽,可使用合適的沉積製程來毯覆式沉積氧化物材料層,例如:化學氣相沉積、原子層沉積、電漿增強式原子層沉積(PEALD)、或電子束蒸鍍。在一些實施例中,可使用約400W至約500W範圍的能量與約300℃至約500℃範圍的溫度下的PEALD來毯覆式沉積氧化物材料層。在毯覆式沉積氧化物材料層後,接著進行在約800℃至約1050℃範圍的溫度上的氧氣流下的乾式退火製程。氧前 驅物的濃度可在總氣體流速之約0.5%至約5%的範圍內。在一些實施例中,退火製程可為閃光燈退火製程,其中退火時間可為約0.5秒至約5秒。用來形成保護性氧化層740A-740B的蝕刻製程不一定會接續在退火製程之後,亦可在以下所述之形成多晶矽結構112A*-112B*的期間進行;或在形成多晶矽結構112A*-112B*後以分離的蝕刻製程來進行。
在用以形成保護性氧化層740A-740B之氧化物材料的毯覆式沉積層的退火後,可接著形成多晶矽結構112A*-112B*,如圖7A-7B所示。在後續的處理期間,可在閘極置換製程中分別置換多晶矽結構112A*-112B*,以形成閘極結構112A-112B。在一些實施例中,形成多晶矽結構112A*-112B*的製程包含:毯覆式沉積多晶矽材料層在被退火的氧化層上,以形成保護性氧化層740A-740B,並透過形成在多晶矽材料層上的圖案化硬遮罩層742A-742B來蝕刻此多晶矽材料的毯覆式沉積層。在一些實施例中,多晶矽材料可為未摻雜的,而硬遮罩層742A-742B可包含氧化層和/或氮化層。氧化層可使用熱氧化製程來形成氧化層,氮化層可使用低壓化學氣相沉積法或電漿增強化學氣相沉積法製程來形成氮化層。硬遮罩層742A-742B可在後續製程步驟(例如:間隙壁114A-114B、磊晶鰭片區110A-110B、層間介電層118和/或蝕刻終止層116的形成期間)中保護多晶矽結構112A*-112B*。
多晶矽材料層的毯覆式沉積包含化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其他合適的沉積製程。在一些實施例中,蝕刻多晶矽材料的毯覆式沉積層包含乾式蝕刻、濕式蝕刻,或其結合。在一些實施例中,蝕刻多晶矽材料的毯覆式沉積層包含4個蝕刻步驟。第一多晶矽蝕刻步驟可包含使用具有溴化氫(HBr)、氧氣(O2)、三氟甲烷(CHF3)、以及氯氣(Cl2)的氣體混合物。第二多晶矽蝕刻步驟可包含使用在約45mTorr至約60mTorr的壓力下具有HBr、O2、Cl2、以及氮氣(N2)的氣體混合物。第三多晶矽蝕刻步驟可包含使用在約45mTorr至約60mTorr的壓力下具有HBr、O2、Cl2、N2、以及氬氣(Ar)的氣體混合物。第四多晶矽蝕刻步驟可包含使用在約45mTorr至約60mTorr的壓力下具有HBr、O2、Cl2的氣體混合物。根據一些實施例,與多晶矽材料一起,第四多晶矽蝕刻步驟亦可移除部分之被退火的氧化物的毯覆式沉積層,以形成未被多晶矽結構112A*-112B*覆蓋的保護性氧化層740A-740B的氧化層。第一多晶矽蝕刻步驟的多晶矽蝕刻速率高於第二、第三、和/或第四多晶矽蝕刻步驟的多晶矽蝕刻速率。第一多晶矽蝕刻步驟係用來蝕刻在鰭片結構108A-108B上之多晶矽材料的毯覆式沉積層的不需要部分。第二、第三及第四多晶矽蝕刻步驟係用來蝕刻高深寬比空間743中之多晶矽材料的毯覆式沉積層的不需要部分。
在一些實施例中,在鰭片結構108A-108B之頂 面上的多晶矽結構112A*-112B*沿著Z軸的垂直尺寸範圍可為約40nm至約60nm。多晶矽結構112A*-112B*可具有等於9或大於9(例如:約10,約12,約15,約18,約20)的深寬比,其中深寬比係指多晶矽結構112A*-112B*沿著Z軸的垂直尺寸對沿著Y軸的水平尺寸的比值。在一些實施例中,沿著Y軸(例如:間距)在相鄰多晶矽結構112A*-112B*的中心線間的水平尺寸的範圍為約30nm至約70nm。
在多晶矽結構112A*-112B*的形成後,若氧化層未被多晶矽結構112A*-112B*所覆蓋的部分之氧化物的毯覆式沉積層未在第四多晶矽蝕刻步驟期間被去除,則可被乾式或濕式蝕刻去除。在一些實施例中,保護性氧化層740A-740B具有沿著Z軸的垂直尺寸(例如:鰭片結構108A-108B頂面上的厚度)以及沿著Y軸的水平尺寸(例如:鰭片頂部108A2-108B2側壁上的厚度),其範圍為約1nm至約3nm。在一些實施例中,此些垂直尺寸可等於或大於此些水平尺寸。在多晶矽結構112A*-112B*的形成期間,保護性氧化層740A-740B的存在可允許從高深寬比空間743(例如:深寬比大於1:15、1:18或1:20)中蝕刻多晶矽材料而不會實質上的蝕刻和/或傷害鰭片結構108A-108B。
請參照圖3,在操作325中,形成間隙壁在多晶矽結構的側壁上與鈍化層上。例如:形成間隙壁114A-114B在多晶矽結構112A*-112B*的側壁上與鈍 化層109A-109B未被多晶矽結構112A*-112B*覆蓋的區域上,如參照圖8A-8B的敘述。形成間隙壁114A-114B之製程可包含藉由化學氣相沉積、物理氣相沉積、或原子層沉積製程,在圖7A的結構上毯覆式沉積絕緣材材料層(例如:氧化物或氮化物材料),且接著進行光學微影與蝕刻製程(例如:反應式離子蝕刻,或其他使用氯基或氟基蝕刻劑的乾式蝕刻)。一道額外的製程可被進行來選擇性地從未被多晶矽結構112A*-112B*所覆蓋的部分鈍化層109A-109B去除絕緣層材料。此額外製程可為具有CH4、氧氣、和/或CH3F的蝕刻劑之乾式蝕刻製程。CH4、氧氣、以及CH3F的流量比例的範圍為約1:1:1至約1:2:4。乾式蝕刻製程可在約300V至約500V範圍的偏壓下進行。
請參照圖3,在操作330中,形成磊晶鰭片區在鈍化層上。例如:形成磊晶鰭片區110A-110B在未被多晶矽結構112A*-112B*所覆蓋的部分鈍化層109A-109B上,如參考圖9A-9B的敘述。磊晶鰭片區110A-110B可被同時形成或依序形成。在一些實施例中,具有相同導電性(例如:P型或N型)的磊晶鰭片區110A-110B可被同時形成,而具有相異導電性之磊晶鰭片區110A-110B則是依序形成。為依序形成磊晶鰭片區110A-110B,可藉由光阻層(未繪示)或其他合適之保護層來保護場效電晶體102B,並可形成磊晶鰭片區110A在未被保護的場效電晶體102A的鈍化層109A上。在磊 晶鰭片區110A的形成後,去除場效電晶體102B上的光阻層或其他合適的保護層,並可藉由另一光阻層(未繪示)或其他合適的保護層保護場效電晶體102A,接著,可成長磊晶鰭片區110B在未被保護的場效電晶體102B的鈍化層109B上。
在一些實施例中,可藉由(i)CVD、例如:低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、超高真空化學氣相沉積(UHVCVD)、減壓化學氣相沉積(RPCVD)、或任何合適的化學氣相沉積;(ii)分子束磊晶(MBE)製程;(iii)任何合適的磊晶製程;(iv)或是其組合,來成長磊晶鰭片區110A-110B。在一些實施例中,可藉由磊晶沉積/部分蝕刻製程來成長磊晶鰭片區110A-110B,其至少重複一次磊晶沉積/部分蝕刻製程。在一些實施例中,磊晶鰭片區110A-110B可為P型或N型。P型鰭片區110A-110B可包含矽鍺,並可在磊晶成長製程期間使用P型摻質(例如:硼、銦、或鎵)進行臨場摻雜。為了進行P型臨場摻雜可使用例如但不受限於:二硼烷(B2H6)、三氟化硼(BF3)、和/或其他的P型摻雜前驅物。N型鰭片區110A-110B可包含矽且不具有實質數量之鍺,並可在磊晶成長製程期間使用N型摻質(例如:磷或砷)進行臨場摻雜。為了進行N型臨場摻雜,可使用但不受限於:磷化氫(PH3),砷化氫(AsH3),和/或其他的N型摻雜前驅物。
請參照圖3,在操作335中,形成奈米結構通道 區在鰭片結構中。例如:依序地形成奈米結構通道區120B及122B在多晶矽結構112A*-112B*下方鰭片頂部108A2-108B2的區域中,如參考圖10A-13B的敘述。在形成奈米結構通道區120B及122B之前,可沉積蝕刻終止層116在圖9A的結構上,並沉積層間介電層118在蝕刻終止層116上。
在一些實施例中,形成蝕刻終止層116的材料可包含SiNx、SiOx、SiON、SiC、SiCN、BN、SiBN、SiCBN,或其組合。形成蝕刻終止層116包含在圖9A的結構上,使用PECVD、次大氣壓化學氣相沉積(SACVD)、LPCVD、高密度電漿化學氣相沉積(HDPCVD)、電漿增強式原子層沉積(PEALD)、分子層沉積(MLD)、電漿脈衝式化學氣相式沉積(PICVD)、或其他合適沉積之方法,來毯覆式沉積蝕刻終止層材料層。
在用以形成蝕刻終止層116之材料層的毯覆式沉積後,進行用以形成層間介電層118之介電材料層的毯覆式沉積。在一些實施例中,介電材料可為氧化矽。可使用合適的可流動性介電材料(例如:可流動性氧化矽、可流動性氮化矽、可流動性氮氧化矽、可流動性碳化矽、或可流動性氧化碳矽)的沉積方法來沉積此介電材料層。例如:使用可流動性化學氣相沉積製程沉積可流動性氧化矽。在毯覆式沉積製程後,接著可在溫度範圍約200℃至約700℃與時間範圍約30分鐘至約120分鐘的蒸氣中,對被沉積的介電材料層進行熱退火處理。在熱退火處理後,接著進 行CMP製程,以使蝕刻終止層116頂表面、層間介電層118、間隙壁114A-114B、以及多晶矽結構112A*-112B*彼此共平面,如圖10A所示。在CMP製程期間,硬遮罩層742A-742B被去除。
在CMP製程後,接著可形成場效電晶體102A的奈米結構通道區120B,如圖11A-11B所示。形成奈米結構通道區120B之製程包含以下依序步驟:(i)形成光阻層1046在場效電晶體102B上,如圖10A-10B所示;(ii)從圖10A的結構中蝕刻多晶矽結構層112A*與保護性氧化層740A;以及(iii)從圖10A的結構中蝕刻鰭片頂部108A2的第二鰭片區122B。在一些實施例中,可使用操作320中所敘述的第一、第二、第三、和/或第四多晶矽蝕刻步驟來蝕刻多晶矽結構層112A*與保護性氧化層740A。在一些實施例中,第二鰭片區122B可包含矽鍺,且第二鰭片區122B的蝕刻可包含使用相較於矽對矽鍺具有較高蝕刻選擇比的乾式蝕刻製程。例如:相較於矽,基於鹵素的化學物質對矽鍺展現較高的蝕刻選擇比。因此,鹵素氣體蝕刻矽鍺的速率快於蝕刻矽的速率。在一些實施例中,基於鹵素的化學物質可包含氟基和/或氯基氣體。或者,第二鰭片區122B的蝕刻亦可包含使用相較於矽對矽鍺具有較高蝕刻選擇比的濕式蝕刻製程,以形成圖11B的結構。例如:濕式蝕刻製程可包含使用硫酸(H2SO4)與過氧化氫(H2O2)的混合物(SPM),和/或具有過氧化氫(H2O2)、氨水(NH4OH)及去離子水(DI)的混合物 (APM)。可控制第二鰭片區122B蝕刻的參數與蝕刻劑,使得位於磊晶鰭片區110A下方的鰭片區120A與122A不會被去除。
蝕刻鰭片頂部108A2的第二鰭片區122B之後,可形成場效電晶體102B的奈米結構通道區122B,如圖12A-12B所繪示。形成奈米結構通道區122B之製程包含以下步驟順序(i)去除光阻層1046;(ii)在開口1148中形成光阻層1250(如圖11B所繪示),保護奈米結構通道區120A,如圖12B所繪示;(iii)蝕刻多晶矽結構112B*與保護性氧化層740B;以及(iv)蝕刻鰭片頂部108B2的第二鰭片區120B。類似於蝕刻多晶矽結構112A*,多晶矽結構層112B*與保護性氧化層740B的蝕刻可使用操作320中所敘述的第一、第二、第三和/或第四蝕刻多晶矽步驟。在一些實施例中,第二鰭片區120B包含矽且不具有實質數量之鍺,可使用包含NH4OH與HCl蝕刻劑之濕式蝕刻製程以形成圖12B的結構,相較於矽鍺,其對矽具有較高的蝕刻選擇比。可控制蝕刻第二鰭片區120B的參數與蝕刻劑,使得位在磊晶鰭片區110B下方的鰭片區120A與122A不會被移除。形成場效電晶體102B的奈米結構通道區122B之後,可將光阻層1250從開口1148中去除,形成圖13A-13B的結構。
請參照圖3,在操作340中,在奈米結構通道區上形成閘極全環繞(GAA)結構或奈米片(NS)結構。例如:可形成閘極結構112A-112B包圍環繞奈米結構通道區 120B與122B,如參照圖13A-13B與1B-1D之敘述。形成閘極結構112A-112B之製程包含以下依序步驟:(i)毯覆式沉積閘極介電層128A-128B的介電材料層在圖13A的結構上;(ii)毯覆式沉積閘極功函數金屬層130A-130B的功函數金屬層在介電材料層上;以及(iii)毯覆式沉積閘極金屬填充層132A-132B的導電材料層在功函數金屬層上,直到開口1148與1352被填滿。在一些實施例中,如圖1B所示,介電材料與功函數金屬層之每一者可在開口1148與1352中形成共形層(如圖13B所示)。
閘極介電層128A-128B的介電材料可包含氧化矽,並可藉由化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、電子束蒸鍍、或是其他合適的製程來形成。在一些實施例中,介電材料層可包含(i)氧化矽、氮化矽、和/或氮氧化矽層;(ii)高k介電材料,例如:氧化鉿(HfO2)、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2;(iii)高k介電材料且具有以下元素的氧化物,例如:鋰(Li)、鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鈧(Sc)、釔(Y)、鋯(Zr)、鋁(Al)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb)、或是鎦(Lu);(iv)或是其組合。高k介電材料層可藉由原子層沉積和/或其他合適的製程來形成。
功函數金屬層130A-130B的功函數金屬材料可 包含鋁、銅、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、銀、碳化鉭、氮矽化鉭、氮碳化鉭、鋁鈦、氮化鋁鈦、氮化鎢、合金金屬、和/或其組合。在一些實施例中,功函數金屬層可包含摻雜鋁之金屬,例如:摻雜鋁的鈦、摻雜鋁的氮化鈦、摻雜鋁的鉭、或摻雜鋁的氮化鉭。功函數金屬層可使用合適的製程沉積,例如:原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、或其組合。閘極電極132的導電材料層可包含Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、Cu、W、Co、Ni、TiC、TiAlC、TaAlC、合金金屬、和/或其組合,且可使用原子層沉積、物理氣相沉積、化學氣相沉積、或其他合適沉積的製程形成。介電材料、功函數金屬材料、以及導電材料的沉積層可被CMP製程平坦化,以形成如圖1A的結構。CMP製程可使閘極介電層128A-128B、閘極功函數金屬層130A-130B、以及閘極金屬填充層132A-132B的上表面與層間介電層118的多個頂表面實質共平面,如圖1A-1D所示。
在形成閘極結構112A-112B後,接著是形成其他元件,例如:源極/汲極接觸、閘極接觸、通孔、內連接金屬層、介電層、鈍化層等,為清晰易懂起見,此處並未繪示這些組件。
本揭露提供減少場效電晶體裝置(例如:場效電晶體102A-102B)主動區(例如:奈米結構通道區120B與122B)中的電阻之例示性結構與方法。減少主動區中的電 阻可增加場效電晶體裝置的驅動電流而改善場效電晶體的性能。
本揭露之例示性結構與方法係在場效電晶體裝置主動區上提供鈍化層109A-109B,以改善主動區的表面品質。表面品質之改善係藉由鈍化層109A-109B緩和表面缺陷,主動區上的表面缺陷,其形式為主動區表面上的懸鍵所產生之空缺。在裝置運作期間,這些空位可捕獲移動穿越場效電晶體裝置主動區的電荷載體而減少場效電晶體裝置的驅動電流。鈍化層109A-109B可與這些懸鍵反應,以消除這些在主動區表面上形成缺陷的懸鍵。相較於不具有類似鈍化層在場效電晶體裝置主動區上的驅動電流,藉由鈍化層109A-109B消除場效電晶體裝置主動區上的表面缺陷,可使場效電晶體裝置的驅動電流改善約20%至約50%。
本揭露之一態樣提供一種半導體裝置,包含:基材、設置於基材上的第一源極/汲極(S/D)區和第二源極/汲極區、設置於第一源極/汲極區和第二源極/汲極區之間的奈米結構通道區、鈍化層、包圍環繞奈米結構通道區的奈米片(NANOSHEET;NS)結構。每一個源極/汲極區皆具有以交錯型式排列之第一半導體層和第二半導體層的堆疊,以及具有設置在第一半導體層和第二半導體層的堆疊上的磊晶區。在磊晶區與第一半導體層和第二半導體層的堆疊之間設置鈍化層的第一部分,以及在奈米結構通道區的側壁上設置鈍化層的第二部分。在一些實施例中,鈍化層的 第一部分包圍環繞第一半導體層和第二半導體層的堆疊。在一些實施例中,磊晶區包圍環繞第一半導體層和第二半導體層的堆疊。在一些實施例中,更包含設置在奈米片結構之側壁上的複數個間隙壁,其中鈍化層的第一部分延伸至這些間隙壁的下方。在一些實施例中,鈍化層的第一部分延伸於這些奈米結構通道區其中至少一者與奈米片結構之間。在一些實施例中,鈍化層的第二部分設置在這些奈米結構通道區與包圍環繞奈米結構通道區之奈米片結構的複數個閘極介電層之間。在一些實施例中,更包含複數個淺渠隔離(STI)區,其中鈍化層的第三部分係設置在這些淺渠隔離區與基材之間。在一些實施例中,鈍化層包含氮化層、氟化物層、氯化物層、或硫化物層。
本揭露之另一態樣提供一種半導體裝置,包含:第一場效電晶體(FET)和第二場效電晶體。第一場效電晶體具有設置在基材上的第一鰭片結構、包圍環繞第一鰭片結構的第一鈍化層、以及設置在第一鈍化層上且具有第一導電性的第一磊晶區。第二場效電晶體具有鄰設於基材上之第一鰭片結構的第二鰭片結構、包圍環繞第二鰭片結構的第二鈍化層、以及設置於第二鈍化層上且具有第二導電性的第二磊晶區。其中第一導電性與第二導電性彼此相異。在一些實施例中,第一鈍化層及第二鈍化層為鈍化層的複數個部分。在一些實施例中,第一場效電晶體更包含具有第一半導體材料的第一奈米結構通道區,其中第二場效電晶體更包含具有第二半導體材料的第二奈米結構通道區, 第二半導體材料相異於第一半導體材料。在一些實施例中,第一場效電晶體更包含第一奈米片結構,第一奈米片結構包圍環繞第一奈米結構通道區;以及第二場效電晶體更包含第二閘極全環繞式(GATE-ALL-AROUND;GAA)結構,第二閘極全環繞式結構包圍環繞第二奈米結構通道區。在一些實施例中,第一鈍化層設置在第一奈米片結構與第一奈米結構通道區之間。在一些實施例中,第一鰭片結構和第二鰭片結構其中每一者包含第一半導體材料和第二半導體材料的堆疊。
本揭露之又一態樣提供製造此半導體裝置的方法,包含:形成具有以交錯型式排列之第一半導體層和第二半導體層的堆疊之鰭片結構在基材上、沉積鈍化層在基材和鰭片結構上、形成磊晶區在鰭片結構的第一部份上、形成奈米結構通道區在鰭片結構的第二部份中、以及形成奈米片結構包圍環繞奈米結構通道區。在一些實施例中,沉積鈍化層的步驟包含:毯覆式沉積氮化層、氟化物層、氯化物層,或硫化物層在第一半導體層和第二半導體層的堆疊的頂表面和側表面上。在一些實施例中,形成奈米結構通道區在鰭片的第二部分中的步驟包含:蝕刻鰭片結構的第二部分中之第二半導體層的複數個部分。在一些實施例中,形成磊晶區的步驟包含:成長磊晶區在未被奈米片結構所覆蓋之第一半導體層和第二半導體層的堆疊的頂表面和側表面上。在一些實施例中,更包含毯覆式沉積保護性氧化層在鈍化層上。在一些實施例中,更包含形成多晶矽結構 在保護性氧化層上。
上述摘要許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優勢。本領域具有通常知識者也應了解與此同等的架構並沒有偏離本揭露的精神和範圍,且可以在不偏離本揭露的精神和範圍下做出各種變化、交換和取代。
100:半導體裝置
102A、102B:場效電晶體
106:基材
108A、108B:鰭片結構
108A1、108B1:鰭片基部
108A2、108B2:鰭片頂部
109A、109B:鈍化層
110A、110B:磊晶鰭片區
111:間隙
112A、112B:閘極結構
116:蝕刻終止層
118:層間介電層
138:淺渠隔離區
138a:氮化層和/或氧化層
138b:絕緣層

Claims (10)

  1. 一種半導體裝置,包含:一基材;一第一源極/汲極區和一第二源極/汲極區,設置於該基材上,其中該第一源極/汲極區和該第二源極/汲極區其中每一者包含:以交錯型式排列且彼此相互接觸之一第一半導體層和一第二半導體層的一堆疊,以及設置於該第一半導體層和該第二半導體層的該堆疊上的一磊晶區;複數個奈米結構通道區,設置於該第一源極/汲極區和該第二源極/汲極區之間;一鈍化層,其中該鈍化層的一第一部分係共形地沉積於該第一半導體層和該第二半導體層的該堆疊上且設置於該磊晶區及該第一半導體層和該第二半導體層的該堆疊之間,該鈍化層的一第二部分係設置於該些奈米結構通道區之複數個側壁上;以及一奈米片(NANOSHEET;NS)結構,包圍環繞該奈米結構通道區。。
  2. 如請求項1所述之半導體裝置,其中該鈍化層的該第一部分包圍環繞該第一半導體層和該第二半導體層的該堆疊。
  3. 如請求項1所述之半導體裝置,其中該鈍化層之該第二部分設置於該些奈米結構通道區與包圍環繞該 奈米結構通道區之該奈米片結構的複數個閘極介電層之間。
  4. 如請求項1所述之半導體裝置,更包含複數個淺渠隔離(STI)區,其中該鈍化層之一第三部分係設置於該些淺渠隔離區與該基材之間。
  5. 一種半導體裝置,包含:一第一場效電晶體(FET),包含:一第一鰭片結構,設置於一基材上;一第一鈍化層,包圍環繞該第一鰭片結構;以及具有一第一導電性之一第一磊晶區,設置於該第一鈍化層上;以及一第二場效電晶體,包含:一第二鰭片結構,鄰設於該基材上之該第一鰭片結構;一第二鈍化層,包圍環繞該第二鰭片結構;以及具有一第二導電性之一第二磊晶區,設置於該第二鈍化層上,其中該第一導電性與該第二導電性彼此相異。
  6. 如請求項5述之半導體裝置,其中該第一鈍化層及該第二鈍化層為一鈍化層之複數個部分。
  7. 如請求項5述之半導體裝置,其中該第一場效電晶體更包含具有一第一半導體材料之一第一奈米結構通道區,其中該第二場效電晶體更包含具有一第二半導體材料之一第二奈米結構通道區,該第二半導體材料相異於該第一半導體材料。
  8. 一種半導體裝置的製造方法,包含:形成一鰭片結構於一基材上,該鰭片結構具有以交錯型式排列之一第一半導體層和一第二半導體層的一堆疊;沉積一鈍化層於該鰭片結構和該基材上;形成一磊晶區於該鰭片結構之一第一部分上;形成一奈米結構通道區於該鰭片之一第二部分中;以及形成包圍環繞該奈米結構通道區的一奈米片結構。
  9. 如請求項8所述之半導體裝置的製造方法,其中該沉積該鈍化層的步驟包含:毯覆式沉積一氮化層、一氟化物層、一氯化物層,或一硫化物層,於該第一半導體層和該第二半導體層的該堆疊之一頂表面及一側表面上。
  10. 如請求項8所述之半導體裝置的製造方法,更包含:毯覆式沉積一保護性氧化層在該鈍化層上。
TW109143260A 2020-03-03 2020-12-08 半導體裝置與其製造方法 TWI759996B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/807,305 2020-03-03
US16/807,305 US11695055B2 (en) 2020-03-03 2020-03-03 Passivation layers for semiconductor devices

Publications (2)

Publication Number Publication Date
TW202135145A TW202135145A (zh) 2021-09-16
TWI759996B true TWI759996B (zh) 2022-04-01

Family

ID=77389195

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109143260A TWI759996B (zh) 2020-03-03 2020-12-08 半導體裝置與其製造方法

Country Status (5)

Country Link
US (2) US11695055B2 (zh)
KR (1) KR102469896B1 (zh)
CN (1) CN113345889A (zh)
DE (1) DE102020106453A1 (zh)
TW (1) TWI759996B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11695055B2 (en) * 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180113118A (ko) * 2017-04-05 2018-10-15 삼성전자주식회사 반도체 소자
US20190305104A1 (en) * 2018-04-02 2019-10-03 International Business Machines Corporation Nanosheet transistor with dual inner airgap spacers

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
CN104137237B (zh) 2011-12-23 2018-10-09 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9412847B2 (en) 2013-03-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned passivation of active regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9184269B2 (en) 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9966471B2 (en) * 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
US9478660B2 (en) * 2015-01-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer on fin of fin field effect transistor (FinFET) device structure
KR102394938B1 (ko) 2015-05-21 2022-05-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10062782B2 (en) 2016-11-29 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with multilayered channel structure
US10134640B1 (en) * 2017-07-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
KR102385567B1 (ko) * 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10374059B2 (en) * 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US10297508B2 (en) * 2017-08-31 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10804367B2 (en) 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
DE102018108821A1 (de) 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
KR102471539B1 (ko) * 2017-12-27 2022-11-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10679995B2 (en) 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102019112545A1 (de) * 2018-07-31 2020-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10879394B2 (en) 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11527612B2 (en) * 2018-09-28 2022-12-13 Intel Corporation Gate-all-around integrated circuit structures having vertically discrete source or drain structures
US11695055B2 (en) * 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180113118A (ko) * 2017-04-05 2018-10-15 삼성전자주식회사 반도체 소자
US20190305104A1 (en) * 2018-04-02 2019-10-03 International Business Machines Corporation Nanosheet transistor with dual inner airgap spacers

Also Published As

Publication number Publication date
CN113345889A (zh) 2021-09-03
KR20210112218A (ko) 2021-09-14
US20220384613A1 (en) 2022-12-01
KR102469896B1 (ko) 2022-11-22
TW202135145A (zh) 2021-09-16
US20210280486A1 (en) 2021-09-09
DE102020106453A1 (de) 2021-09-09
US11929422B2 (en) 2024-03-12
US11695055B2 (en) 2023-07-04

Similar Documents

Publication Publication Date Title
US11387347B2 (en) Fin structures having varied fin heights for semiconductor device
US11594616B2 (en) Field effect transistor with negative capacitance dielectric structures
US11437468B2 (en) Isolation structures of semiconductor devices
US11264485B2 (en) Spacer structure for semiconductor device
US11881530B2 (en) Spacer structures for semiconductor devices
US20240088261A1 (en) Field effect transistors with dual silicide contact structures
US20230411455A1 (en) Core-Shell Nanostructures For Semiconductor Devices
US11929422B2 (en) Passivation layers for semiconductor devices
US20220165868A1 (en) Isolation Structures of Semiconductor Devices
US10002796B1 (en) Dual epitaxial growth process for semiconductor device
US11984476B2 (en) Isolation structures of semiconductor devices