KR20160073305A - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR20160073305A
KR20160073305A KR1020150173217A KR20150173217A KR20160073305A KR 20160073305 A KR20160073305 A KR 20160073305A KR 1020150173217 A KR1020150173217 A KR 1020150173217A KR 20150173217 A KR20150173217 A KR 20150173217A KR 20160073305 A KR20160073305 A KR 20160073305A
Authority
KR
South Korea
Prior art keywords
conductor
plasma
flow path
wafer
exhaust flow
Prior art date
Application number
KR1020150173217A
Other languages
English (en)
Other versions
KR102352699B1 (ko
Inventor
아키노리 미야타
시게루 센자키
히로후미 하가
노부타카 나카오
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160073305A publication Critical patent/KR20160073305A/ko
Application granted granted Critical
Publication of KR102352699B1 publication Critical patent/KR102352699B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

피처리 기판의 에칭 레이트의 균일성을 향상시키는 것.
플라즈마 처리 장치는, 처리 용기와, 처리 용기 내에 처리 가스를 공급하는 가스 공급부와, 처리 용기 내에 마련되며, 피처리 기판이 배치되는 배치대와, 배치대의 상방에 마련된 상부 전극과, 상부 전극 및 배치대 중 적어도 어느 한쪽에 고주파 전력을 공급함으로써, 처리 용기 내에 있어서 처리 가스의 플라즈마를 생성하는 플라즈마 생성부와, 처리 용기의 측벽과, 배치대의 측면에 의해 형성된 배기 유로와, 배기 유로에 마련되며, 배기 유로에 의해 처리 용기의 외부로 배출되는 처리 가스의 흐름을 조정하는 도전성의 정류판과, 배기 유로에 있어서의 정류판보다 높은 위치로서, 배치대에 배치된 피처리 기판보다 낮은 위치에 상부 전극 중 적어도 일부와 대향하도록 배치되며, 피처리 기판의 피처리면에 대한 높이 방향의 거리가 미리 정해진 범위 내로 설정된 도전체를 구비하였다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명의 여러가지의 측면 및 실시형태는 플라즈마 처리 장치에 관한 것이다.
반도체의 제조 프로세스에서는, 박막의 퇴적 또는 에칭 등을 목적으로 한 플라즈마 처리를 실행하는 플라즈마 처리 장치가 널리 이용되고 있다. 플라즈마 처리 장치는, 예컨대 박막의 퇴적 처리를 행하는 플라즈마 CVD(Chemical Vapor Deposition) 장치나, 에칭 처리를 행하는 플라즈마 에칭 장치 등을 들 수 있다.
플라즈마 처리 장치는, 플라즈마 처리 공간을 구획하는 처리 용기, 처리 용기 내에 피처리 기판을 마련하는 배치대, 배치대의 상방에 마련된 상부 전극, 및 플라즈마 반응에 필요한 처리 가스를 처리 용기 내에 도입하기 위한 가스 공급계 등을 구비한다. 또한, 플라즈마 처리 장치는, 처리실 내의 처리 가스를 플라즈마화하기 위해, 마이크로파, RF파 등의 전자 에너지를 공급하는 플라즈마 생성 기구 등을 구비한다. 또한, 플라즈마 처리 장치에서는, 처리 용기의 측벽과, 배치대의 측면에 의해, 처리 가스를 처리 용기의 외부로 배기하기 위한 배기 유로가 형성된다. 배기 유로에는, 처리 가스의 흐름을 조정하는 배플판이 마련된다. 배플판은, 처리 용기 내의 가스를 균일하게 배기되도록 정류하는 기능을 한다. 또한, 배플판은, 통상, 도전성을 갖는다.
그런데, 플라즈마 처리 장치에서는, 생성된 플라즈마 중의 전자가 도전성의 배플판에 끌려, 배플판의 표면에 반응 생성물을 발생시키기 때문에, 전자를 차단하기 위해 배기 유로에 도전체를 설치하는 것이 알려져 있다. 예컨대, 배기 유로에 있어서의 배플판보다 높은 위치로서, 배치대에 배치된 피처리 기판보다 낮은 위치에 도전체제의 접지 전극을 배치하여, 플라즈마 중의 전자를 접지 전극으로 밀어내는 종래 기술이 있다.
특허문헌 1: 일본 특허 공개 제2007-258471호 공보
그러나, 전술한 종래 기술에서는, 배기 유로에 있어서 상부 전극과 대향하는 전극이 존재하지 않기 때문에, 생성된 플라즈마가 배치대에 배치된 피처리 기판의 중앙부에 집중하여, 기판 중앙부가 에칭 레이트가 높으며, 주변부의 에칭 레이트가 낮아진다. 결과로서, 피처리 기판의 에칭 레이트의 균일성이 저하한다고 하는 문제가 있다.
본 발명의 일측면에 따른 플라즈마 처리 장치는, 처리 용기와, 상기 처리 용기 내에 처리 가스를 공급하는 가스 공급부와, 상기 처리 용기 내에 마련되며, 피처리 기판이 배치되는 배치대와, 상기 배치대의 상방에 마련된 상부 전극과, 상기 상부 전극 및 상기 배치대 중 적어도 어느 한쪽에 고주파 전력을 공급함으로써, 상기 처리 용기 내에 있어서 처리 가스의 플라즈마를 생성하는 플라즈마 생성부와, 상기 처리 용기의 측벽과, 상기 배치대의 측면에 의해 형성된 배기 유로와, 상기 배기 유로에 마련되며, 상기 배기 유로에 의해 상기 처리 용기의 외부로 배출되는 처리 가스의 흐름을 조정하는 도전성의 정류판과, 상기 배기 유로에 있어서의 상기 정류판보다 높은 위치로서, 상기 배치대에 배치된 상기 피처리 기판보다 낮은 위치에 상기 상부 전극 중 적어도 일부와 대향하도록 배치되며, 상기 피처리 기판의 피처리면에 대한 높이 방향의 거리가 미리 정해진 범위 내로 설정된 도전체를 구비하였다.
본 발명의 여러가지의 측면 및 실시형태에 따르면, 피처리 기판의 에칭의 면내 균일성을 향상시킬 수 있는 플라즈마 처리 장치가 실현된다.
도 1은 일실시형태에 따른 플라즈마 처리 장치의 종단면을 나타내는 도면이다.
도 2a는 도전체의 설치 양태의 일례를 나타내는 도면이다.
도 2b는 도전체가 배치대의 측면에만 마련된 상태를 나타내는 도면이다.
도 3은 비교예의 플라즈마 처리 장치에 있어서 플라즈마가 생성된 상태를 나타내는 도면이다.
도 4는 본 실시형태의 플라즈마 처리 장치에 있어서 플라즈마가 생성된 상태를 나타내는 도면이다.
도 5는 웨이퍼의 유기막을 미리 정해진 처리 가스로 에칭한 경우의 에칭 레이트를 나타내는 도면이다.
도 6은 웨이퍼의 산화막을 미리 정해진 처리 가스로 에칭한 경우의 에칭 레이트를 나타내는 도면이다.
본원 명세서에 개시하는 플라즈마 처리 장치는, 하나의 실시형태에 있어서, 처리 용기와, 처리 용기 내에 처리 가스를 공급하는 가스 공급부와, 처리 용기 내에 마련되며, 피처리 기판이 배치되는 배치대와, 배치대의 상방에 마련된 상부 전극과, 상부 전극 및 배치대 중 적어도 어느 한쪽에 고주파 전력을 공급함으로써, 상기 처리 용기 내에 있어서 처리 가스의 플라즈마를 생성하는 플라즈마 생성부와, 상기 처리 용기의 측벽과, 상기 배치대의 측면에 의해 형성된 배기 유로와, 상기 배기 유로에 마련되며, 상기 배기 유로에 의해 상기 처리 용기의 외부로 배출되는 처리 가스의 흐름을 조정하는 도전성의 정류판과, 상기 배기 유로에 있어서의 상기 정류판보다 높은 위치로서, 상기 배치대에 배치된 상기 피처리 기판보다 낮은 위치에 상기 상부 전극 중 적어도 일부와 대향하도록 배치되며, 피처리 기판의 피처리면에 대한 높이 방향의 거리가 미리 정해진 범위 내로 설정된 도전체를 구비하였다.
또한, 개시하는 플라즈마 처리 장치는, 하나의 실시형태에 있어서, 도전체는, 배기 유로의 처리 용기의 측벽측 및 배치대의 측면측 중 적어도 어느 한쪽에 마련되었다.
이하, 도면을 참조하여 여러가지의 실시형태에 대해서 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.
[플라즈마 처리 장치의 전체 구성]
우선, 일실시형태에 따른 플라즈마 처리 장치(1)의 전체 구성에 대해서, 도 1을 참조하면서 설명한다. 도 1은 일실시형태에 따른 플라즈마 처리 장치의 종단면을 나타내는 도면이다. 본 실시형태에서는, 처리 용기(10)의 내부에 하부 전극[배치대(20)]과 상부 전극(25)(샤워 헤드)을 대향 배치하여, 상부 전극(25)으로부터 가스를 처리 용기(10)의 내부에 공급하는 평행 평판형의 플라즈마 처리 장치(1)를 예로 들어 설명한다.
도 1에 나타내는 바와 같이, 플라즈마 처리 장치(1)는, 예컨대 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄 등의 도전성 재료로 이루어지는 처리 용기(10) 및 처리 용기(10) 내에 가스를 공급하는 가스 공급원(15)을 갖는다. 처리 용기(10)는, 접지되어 있다. 처리 용기(10)는, 내부에 플라즈마 처리 공간(A)을 구획한다. 처리 용기(10)의 내벽 중 플라즈마 처리 공간(A)과 대향하는 부분은, 예컨대, 실리콘이나 석영 등의 기화재(109)에 의해 덮여져 있다. 처리 용기(10)는, 처리 용기의 일례이다. 가스 공급원(15)은, 에칭, 클리닝 등의 플라즈마 처리 공정마다 특정된 가스를 공급한다. 가스 공급원(15)은, 가스 공급부의 일례이다.
처리 용기(10)는 전기적으로 접지되어 있고, 처리 용기(10)의 내부에는 웨이퍼(W)를 배치하는 배치대(20)를 갖는다. 웨이퍼(W)는, 피처리 기판의 일례이며, 플랫 패널 기판 등에 적용 가능하다. 배치대(20)는 하부 전극으로서 기능한다. 배치대(20)에 대향하여 천장부에는, 상부 전극(25)이 마련되어 있다.
배치대(20)의 상면에는, 웨이퍼(W)를 정전 흡착하기 위한 정전 척(106)이 마련되어 있다. 정전 척(106)은, 절연체(106b) 사이에 척 전극(106a)을 끼운 구조로 되어 있다. 척 전극(106a)에는 직류 전압원(112)이 접속되고, 직류 전압원(112)으로부터 척 전극(106a)에 직류 전압이 인가됨으로써, 쿨롱력에 의해 웨이퍼(W)가 정전 척(106)에 흡착된다. 정전 척(106)의 주연부에는, 에칭의 면내 균일성을 높이기 위해, 예컨대 실리콘으로 구성된 포커스 링(101)이 배치되어 있다.
배치대(20)는, 예컨대 알루미늄 등의 도전체의 전극(하부 전극)(104)에 의해 지지되어 있다. 하부 전극(104)의 내부에는, 냉매 유로(104a)가 형성되어 있다. 냉매 유로(104a)에는, 적절하게 냉매로서 예컨대 냉각수 등이 순환되어, 웨이퍼(W)를 냉각한다.
전열 가스 공급원(85)은, 헬륨 가스(He)나 아르곤 가스(Ar) 등의 전열 가스를 가스 공급 라인(130)에 통하게 하여 정전 척(106)과 웨이퍼(W) 사이에 공급한다. 이러한 구성에 의해, 정전 척(106)은, 냉매 유로(104a)에 순환시키는 냉각수와, 웨이퍼(W)의 이면에 공급하는 전열 가스에 의해 온도 제어된다.
배치대(20)는, 유지 부재(103)를 통해 지지 부재(105)에 지지되어 있다. 지지 부재(105)는, 절연체로 이루어지며, 처리 용기(10)와 절연하여, 하부 전극(104)을 플로팅 상태로 하고 있다.
제1 고주파 전원(32)은, 제1 정합기(33)를 통해 상부 전극(25)에 전기적으로 접속된다. 제2 고주파 전원(35)은, 제2 정합기(34)를 통해 하부 전극(104)에 전기적으로 접속된다. 제1 고주파 전원(32)은, 예컨대, 60 ㎒의 제1 고주파 전력을 상부 전극(25)에 공급한다. 제2 고주파 전원(35)은, 예컨대, 13.56 ㎒의 제2 고주파 전력을 하부 전극(104)에 공급한다. 또한, 고주파 전원의 접속 타입은, 상하부 이외에 하부 2주파 타입이어도 좋고, 다른 타입이어도 좋다.
제1 및 제2 정합기(33, 34)는, 각각 제1 및 제2 고주파 전원(32, 35)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시키기 위한 것이며, 처리 용기(10) 내에 플라즈마가 생성되어 있을 때에 제1, 제2 고주파 전원(32, 35)의 내부 임피던스와 부하 임피던스가 외관 상 일치하도록 기능한다.
제1 및 제2 고주파 전원(32, 35)은, 처리 용기(10)에 전자파의 에너지를 인가하는 전원의 일례이다. 처리 용기(10)에 전자파의 에너지를 인가하는 전원의 다른 예로서는, 마이크로파 플라즈마, 유도 결합 플라즈마(ICP: Inductively Coupled Plasma)를 들 수 있다.
상부 전극(25)은, 그 주연부를 피복하는 실드 링(40)을 통해 처리 용기(10)의 천장부에 부착되어 있다. 상부 전극(25)은, 전기적으로 접지되어 있다. 상부 전극(25)의 플라즈마 처리 공간(A)과 대향하는 부분은, 실리콘·석영 등의 기화재(100)에 의해 덮여져 있다.
상부 전극(25)에는, 가스 공급원(15)으로부터 가스를 도입하기 위한 가스 도입구(45)가 형성되어 있다. 또한, 상부 전극(25)의 내부에는 가스 도입구(45)로부터 분기되어 가스를 확산시키는 센터측의 확산실(50a) 및 엣지측의 확산실(50b)이 마련되어 있다.
상부 전극(25)에는, 확산실(50a, 50b)로부터의 가스를 처리 용기(10) 내에 공급하는 다수의 가스 공급 구멍(55)이 형성되어 있다. 각 가스 공급 구멍(55)은, 하부 전극에 배치된 웨이퍼(W)와 상부 전극(25) 사이에 가스를 공급할 수 있도록 배치되어 있다.
가스 공급원(15)으로부터의 가스는 가스 도입구(45)를 통해 확산실(50a, 50b)에 공급되고, 이곳에서 확산하여 각 가스 공급 구멍(55)에 분배되며, 가스 공급 구멍(55)으로부터 하부 전극을 향하여 처리 용기(10) 내에 도입된다. 이러한 구성에 의해, 상부 전극(25)은, 가스를 공급하는 가스 샤워 헤드로서도 기능한다.
처리 용기(10)의 측벽(102)과, 배치대(20)의 측면 사이에는, 환형의 배기 유로(62)가 형성되어 있다. 배기 유로(62)의 내주면은, 산화이트륨을 포함하는 용사막(107)에 의해 덮여져 있다. 배기 유로(62)에는, 정류판(배플판)(108)이 마련되어 있다. 정류판(배플판)(108)은, 배기 유로(62)에 의해 처리 용기(10)의 외부로 배출되는 처리 가스의 흐름을 조정한다. 정류판(배플판)(108)은, 금속 등의 도전성을 갖는 재료에 의해 형성되어 있다. 배기 유로(62)의 바닥부에는 배기구(61)를 형성하는 배기관(60)이 설치되어 있다. 배기관(60)에는, 배기 장치(65)가 접속되어 있다. 배기 장치(65)는, 도시하지 않는 진공 펌프를 가지고, 처리 용기(10) 내의 처리 공간을 미리 정해진 진공도까지 감압한다.
배기 유로(62)에 있어서의, 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면에는, 도전체(201) 및 도전체(202)가 각각 마련되어 있다. 도전체(201) 및 도전체(202)는, 배기 유로(62)에 대하여 직교하도록 환형으로 배치되어 있다. 도전체(201) 및 도전체(202)는, 예컨대 실리콘 등의 도전체에 의해 형성되어 있다. 또한, 폴리실리콘, SiC, 유리형 카본 등으로 형성되어도 좋다. 도전체(201) 및 도전체(202)의 설치 양태에 대해서는, 후술한다.
처리 용기(10)의 측벽에는 게이트 밸브(G)가 마련되어 있다. 게이트 밸브(G)는, 처리 용기(10)로부터 웨이퍼(W)의 반입 및 반출을 행할 때에 반출입구를 개폐한다.
이러한 구성의 플라즈마 처리 장치(1)에 의해, 웨이퍼(W)에 플라즈마 처리가 실시된다. 예컨대, 에칭 처리가 행해지는 경우, 우선, 게이트 밸브(G)의 개폐가 제어되고, 웨이퍼(W)가 처리 용기(10)에 반입되며, 배치대(20)에 정전 흡착에 의해 배치된다. 이어서, 에칭용의 가스가 도입되고, 제1 고주파 전력이 상부 전극(25)에, 제2 고주파 전력이 하부 전극(104)에 공급되어 플라즈마가 생성된다. 생성된 플라즈마에 의해 웨이퍼(W)에 플라즈마 에칭 등의 원하는 처리가 실시된다. 처리 후, 게이트 밸브(G)의 개폐가 제어되어, 웨이퍼(W)가 처리 용기(10)로부터 반출된다.
다음에, 도 1에 나타낸 도전체(201) 및 도전체(202)의 설치 양태에 대해서 설명한다. 도 2a는 도전체의 설치 양태의 일례를 나타내는 도면이다. 도 2a에 나타내는 바와 같이, 도전체(201) 및 도전체(202)는, 배기 유로(62)에 있어서의 배플판(108)보다 높은 위치로서, 배치대(20)에 배치된 웨이퍼(W)보다 낮은 위치에 상부 전극(25) 중 적어도 일부와 대향하도록 배치되어 있다. 또한, 도전체(201)와 도전체(202)는, 배기 유로(62) 중심 영역에서 중첩되도록 배치되는 것이 바람직하다. 구체적으로는, 도전체(201)는, 처리 용기(10)의 측벽(102)에 마련되고, 배플판(108)보다 높은 위치로서, 배치대(20)에 배치된 웨이퍼(W)보다 낮은 위치에 상부 전극(25) 중 적어도 일부와 대향하도록 배치된다. 웨이퍼(W)의 피처리면에 대한 높이 방향을 따른, 도전체(201)와, 웨이퍼(W)의 피처리면의 거리(L1)는, 예컨대 1 ㎜∼70 ㎜가 바람직하다. 거리(L1)는, 도전체(201)를 상부 전극(25)에 대한 접지 전극으로서 기능시키는 범위 내로 설정되어 있다. 또한, 도전체(202)는, 배치대(20)의 측면에 마련되고, 배플판(108)보다 높은 위치로서, 배치대(20)에 배치된 웨이퍼(W)보다 낮은 위치에 상부 전극(25) 중 적어도 일부와 대향하도록 배치되어 있다. 웨이퍼(W)의 피처리면에 대한 높이 방향을 따른, 도전체(202)와, 웨이퍼(W)의 피처리면의 거리(L2)는, 15 ㎜∼85 ㎜가 바람직하다. 거리(L2)는, 도전체(202)를 상부 전극(25)에 대한 접지 전극으로서 기능시키는 범위 내로 설정되어 있다. 또한, 웨이퍼(W)의 피처리면에 대한 높이 방향을 따른, 도전체(201)와 도전체(202) 사이의 거리(L3)는, 20 ㎜∼40 ㎜가 바람직하다.
또한, 도전체(201)와, 도전체(202)는, 웨이퍼(W)의 피처리면에 대한 높이 방향에서 본 경우에, 일부가 중복하도록 배치되는 것이 바람직하다. 이에 의해, 배기 유로(62)에 존재하는 파티클이 처리 용기(10)의 플라즈마 처리 공간(A)에 침입하는 것을 회피할 수 있다. 도전체(201)와, 도전체(202)가 일부가 중복하도록 배치되는 경우에는, 도전체(201) 또는 도전체(202)에 대한, 도전체(201)와 도전체(202)의 중복 부분의 폭(L4)의 비율은, 1.5%∼22.5%로 설정하는 것이 바람직하고, 1.5%∼15%로 설정하는 것이 보다 바람직하다. 도전체(201) 및 도전체(202)를 배기 유로(62)에 상기 범위 내에서 배치함으로써, 처리 용기(10) 내의 배기를 균일하게 효율적으로 행할 수 있고, 또한, A/C비를 크게 하면서 처리 용기(10) 내에 생성한 플라즈마 영역을 균일하게 넓히기 때문에, 웨이퍼(W)에의 처리를 균일하게 처리할 수 있다.
또한, 상기 설명에서는, 웨이퍼(W)의 피처리면에 대한 높이 방향에서 본 경우에, 도전체(201)와, 도전체(202)가 일부가 중복하도록 배치되는 예를 나타내었지만, 도전체(201)와, 도전체(202)는, 일부가 중복하지 않도록 배치되어도 좋다.
여기서, 도전체(201) 및 도전체(202)의 접지 전극으로서의 기능에 대해서 설명한다.
우선, 비교예로서, 배기 유로(62)에 도전체를 갖지 않는 플라즈마 처리 장치에 대해서 설명한다. 도 3은 비교예의 플라즈마 처리 장치에 있어서 플라즈마가 생성된 상태를 나타내는 도면이다. 비교예의 플라즈마 처리 장치는, 배기 유로(62)에 도전체를 갖지 않는 점이 본 실시형태의 플라즈마 처리 장치(1)와 상이하고, 그 외의 점은, 본 실시형태의 플라즈마 처리 장치(1)와 동일하다. 그래서, 도 3에서는, 본 실시형태와 동일한 부위에 대해서는 동일한 부호를 붙여 설명한다.
비교예의 플라즈마 처리 장치는, 배기 유로(62)에 도전체를 갖지 않는다. 바꾸어 말하면, 배기 유로(62)에 있어서 상부 전극(25)과 대향하는 전극이 멀게 되어 있다(외관 상 배플판이 대향 전극으로 되어 있지만, 대향 전극으로서의 기능이 작용하고 있지 않음). 이 때문에, 도 3에 나타내는 바와 같이, 처리 용기(10)의 내부에서 플라즈마(P)가 생성된 경우, 생성된 플라즈마(P)는, 배기 유로(62)에 마련된 도전성의 배플판(108)의 방향으로 돌아서 들어가, 배치대(20)에 배치된 웨이퍼(W)의 중앙부의 상방에 집중한다. 그 결과, 웨이퍼(W)의 중앙부에 대응하는 에칭 레이트와, 웨이퍼(W)의 주연부에 대한 에칭 레이트의 차이가 증대하는 경우가 있기 때문에, 웨이퍼(W)의 에칭 레이트의 균일성이 저하할 우려가 있다.
이에 대하여, 본 실시형태의 플라즈마 처리 장치(1)에 대해서 설명한다. 도 4는 본 실시형태의 플라즈마 처리 장치에 있어서 플라즈마가 생성된 상태를 나타내는 도면이다. 본 실시형태의 플라즈마 처리 장치(1)에서는, 도전체(201) 및 도전체(202)는, 배기 유로(62)에 있어서의 배플판(108)보다 높은 위치로서, 배치대(20)에 배치된 웨이퍼(W)보다 낮은 위치에 상부 전극(25) 중 적어도 일부와 대향하도록 배치되어 있다. 예컨대, 거리(L1, L2, L3, L4)를, 각각, 30 ㎜, 66 ㎜, 30.5 ㎜, 6.5 ㎜로 설정하고, 도전체(201) 및 도전체(202)를 상부 전극(25)에 대한 접지 전극으로서 기능시키는 범위 내로 설정하여 에칭 처리를 하였다.
도 4에 나타내는 바와 같이, 처리 용기(10)의 내부에서 플라즈마(P')가 생성된 경우, 생성된 플라즈마(P')는, 배기 유로(62)에 마련된 도전성의 배플판(108)의 방향으로 돌아서 들어가는 일없이, 웨이퍼(W)의 중앙부의 상방 및 도전체(201) 및 도전체(202)의 상방으로 넓어진다. 그 결과, 웨이퍼(W)의 중앙부에 대응하는 에칭 레이트와, 웨이퍼(W)의 주연부에 대한 에칭 레이트의 차이가 억제되기 때문에, 웨이퍼(W)의 면내 균일성이 향상된다.
또한, 도 2a에서는, 도전체(201)가 처리 용기(10)의 측벽(102)에 마련되고, 또한, 도전체(202)가 배치대(20)의 측면에 마련되는 예를 나타내었지만 개시 기술은 이것에는 한정되지 않는다. 예컨대, 도전체(201)만이 처리 용기(10)의 측벽(102)에 마련되어도 좋고, 혹은, 도전체(202)만이 배치대(20)의 측면에 마련되어도 좋다. 요컨대, 도전체가, 배기 유로(62)에 있어서의, 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면 중 적어도 어느 한쪽에 배기 유로(62)의 단면에 직교하도록, 환형으로 마련하는 것이 바람직하다. 도 2b에, 일례로서, 도전체(201)가 생략되고, 또한, 도전체(202)가 배치대(20)의 측면에만 마련된 상태를 나타낸다.
또한, 애노드/캐소드비(A/C비)를 크게 하는 관점에서는, 도전체는, 배기 유로(62)에 있어서의, 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면의 양방에 마련되는 것이 바람직하다. A/C비는, 캐소드측의 면적에 대한 애노드측의 면적이 증대할수록, 증대한다. 도전체가, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면의 양방에 마련됨으로써, 외관 상의 애노드측의 면적이 증대하여, A/C비가 증대한다. 이에 의해, 애노드인 처리 용기(10)의 측벽(102)에의 스퍼터력이 감소하여, 결과로서, 부재의 소모가 억제된다.
다음에, 본 실시형태의 플라즈마 처리 장치에 의한 효과(에칭 레이트)에 대해서 설명한다. 도 5에 웨이퍼의 유기막을 미리 정해진 처리 가스로 에칭한 경우의 에칭 레이트를 나타내는 도면이다.
도 5에 있어서, 횡축은, 웨이퍼(W)의 중심 위치를 기준으로 한 웨이퍼(W)의 직경 방향의 위치[㎜]를 나타내고 있으며, 종축은, 웨이퍼(W)의 유기막을 미리 정해진 처리 가스로 에칭한 경우의 에칭 레이트[㎚/min]를 나타내고 있다.
또한, 도 5에 있어서, 그래프(252)는, 배기 유로(62)에 도전체를 갖지 않는 비교예의 플라즈마 처리 장치를 이용하여, 웨이퍼(W)의 유기막을 에칭한 경우의 에칭 레이트를 나타내는 그래프이다. 그래프(254)는, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면에 도전체(201) 및 도전체(202)가 각각 마련된 본 실시형태의 플라즈마 처리 장치(1)를 이용하여, 웨이퍼(W)의 유기막을 에칭한 경우의 에칭 레이트를 나타내는 그래프이다. 또한, 그래프(254)에 있어서, 도전체(201) 및 도전체(202)는, 거리(L1, L2, L3, L4)가, 각각 30 ㎜, 66 ㎜, 30.5 ㎜, 6.5 ㎜로 설정되도록, 배치된 것으로 한다. 그래프(256)는, 배기 유로(62)의 배치대(20)의 측면에 도전체(202)가 마련되고, 또한, 처리 용기(10)의 측벽(102)에 도전체(201) 대신에 유전체가 마련된 본 실시형태의 플라즈마 처리 장치(1)를 이용하여, 웨이퍼(W)의 유기막을 에칭한 경우의 에칭 레이트를 나타내는 그래프이다. 또한, 도전체(201)를 대신하는 유전체는, 예컨대, 석영 등의 유전체에 의해 형성되어 있는 것으로 한다. 또한, 그래프(256)에 있어서, 도전체(201) 및 유전체는, 거리(L1, L2, L3, L4)가, 각각 30 ㎜, 66 ㎜, 30.5 ㎜, 6.5 ㎜로 설정되도록, 배치된 것으로 한다.
도 5의 그래프(252)에 나타내는 바와 같이, 배기 유로(62)에 도전체를 갖지 않는 비교예의 플라즈마 처리 장치에서는, 웨이퍼(W)의 직경 방향을 따른 에칭 레이트의 평균값은, 25.9 ㎚/min이며, 에칭 레이트의 평균값에 대한 편차는, ±23.8%였다. 이 에칭 레이트의 평균값과, 편차는, 모두 미리 정해진 허용 스펙을 만족시키는 것이 아니었다.
이에 대하여, 도 5의 그래프(254)에 나타내는 바와 같이, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면에 도전체(201) 및 도전체(202)가 각각 마련된 본 실시형태의 플라즈마 처리 장치(1)에서는, 웨이퍼(W)의 직경 방향을 따른 에칭 레이트의 평균값은, 23.9 ㎚/min이며, 에칭 레이트의 평균값에 대한 편차는, ±17.9%였다. 이 에칭 레이트의 평균값과, 편차는, 모두 미리 정해진 허용 스펙을 만족시키는 것이었다. 또한, 도 5의 그래프(256)에 나타내는 바와 같이, 배기 유로(62)의 배치대(20)의 측면에 도전체(202)가 마련되고, 또한, 처리 용기(10)의 측벽(102)에 도전체(201) 대신에 유전체판이 마련된 본 실시형태의 플라즈마 처리 장치(1)에서는, 웨이퍼(W)의 직경 방향을 따른 에칭 레이트의 평균값은, 21.2 ㎚/min이며, 에칭 레이트의 평균값에 대한 편차는, ±19.4%였다. 이 에칭 레이트의 평균값과, 편차는, 모두 미리 정해진 허용 스펙을 만족시키는 것이었다. 즉, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면 중 적어도 어느 한쪽에 도전체가 마련된 경우, 배기 유로(62)에 도전체가 마련되지 않는 경우와 비교하여, 웨이퍼(W)의 에칭 레이트의 균일성이 향상되었다. 이것은, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면 중 적어도 어느 한쪽에 도전체가 마련된 경우, 도전체의 접지 전극으로서의 기능에 의해 플라즈마가 웨이퍼(W)의 중앙부의 상방 및 도전체(201) 및 도전체(202)의 상방으로 넓어지기 때문이라고 생각된다.
도 6은 웨이퍼의 산화막을 미리 정해진 처리 가스로 에칭한 경우의 에칭 레이트를 나타내는 도면이다. 도 6에 있어서, 횡축은, 웨이퍼(W)의 중심 위치를 기준으로 한 웨이퍼(W)의 직경 방향의 위치[㎜]를 나타내고 있으며, 종축은, 웨이퍼(W)의 산화막을 미리 정해진 처리 가스로 에칭한 경우의 에칭 레이트[㎚/min]를 나타내고 있다.
또한, 도 6에 있어서, 그래프(262)는, 배기 유로(62)에 도전체를 갖지 않는 비교예의 플라즈마 처리 장치를 이용하여, 웨이퍼(W)의 산화막을 에칭한 경우의 에칭 레이트를 나타내는 그래프이다. 그래프(264)는, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면에 도전체(201) 및 도전체(202)가 각각 마련된 본 실시형태의 플라즈마 처리 장치(1)를 이용하여, 웨이퍼(W)의 산화막을 에칭한 경우의 에칭 레이트를 나타내는 그래프이다. 또한, 그래프(264)에 있어서, 도전체(201) 및 도전체(202)는, 거리(L1, L2, L3, L4)가, 각각 30 ㎜, 66 ㎜, 30.5 ㎜, 6.5 ㎜로 설정되도록, 배치된 것으로 한다. 그래프(266)는, 처리 용기(10)의 측벽(102)에 도전체(201)가 마련되고, 또한, 배치대(20)의 측면에 도전체(202) 대신에 유전체가 마련된 본 실시형태의 플라즈마 처리 장치(1)를 이용하여, 웨이퍼(W)의 산화막을 에칭한 경우의 에칭 레이트를 나타내는 그래프이다. 또한, 도전체(202)를 대신하는 유전체는, 예컨대, 석영 등의 유전체에 의해 형성되어 있는 것으로 한다. 또한, 그래프(266)에 있어서, 도전체(201) 및 유전체는, 거리(L1, L2, L3, L4)가, 각각 30 ㎜, 66 ㎜, 30.5 ㎜, 6.5 ㎜로 설정되도록, 배치된 것으로 한다. 그래프(268)는, 배기 유로(62)의 배치대(20)의 측면에 도전체(202)가 마련되고, 또한, 처리 용기(10)의 측벽(102)에 도전체(201) 대신에 유전체가 마련된 본 실시형태의 플라즈마 처리 장치(1)를 이용하여, 웨이퍼(W)의 산화막을 에칭한 경우의 에칭 레이트를 나타내는 그래프이다. 또한, 도전체(201)를 대신하는 유전체는, 예컨대, 석영 등의 유전체에 의해 형성되어 있는 것으로 한다. 또한, 그래프(268)에 있어서, 유전체 및 도전체(202)는, 거리(L1, L2, L3, L4)가, 각각 30 ㎜, 66 ㎜, 30.5 ㎜, 6.5 ㎜로 설정되도록, 배치된 것으로 한다.
도 6의 그래프(262)에 나타내는 바와 같이, 배기 유로(62)에 도전체를 갖지 않는 비교예의 플라즈마 처리 장치에서는, 웨이퍼(W)의 직경 방향을 따른 에칭 레이트의 평균값은, 66.1 ㎚/min이며, 에칭 레이트의 평균값에 대한 편차는, ±10.9%였다. 이 에칭 레이트의 평균값과, 편차는, 모두 미리 정해진 허용 스펙을 만족시키는 것이 아니었다.
이에 대하여, 도 6의 그래프(264)에 나타내는 바와 같이, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면에 도전체(201) 및 도전체(202)가 각각 마련된 본 실시형태의 플라즈마 처리 장치(1)에서는, 웨이퍼(W)의 직경 방향을 따른 에칭 레이트의 평균값은, 59.3 ㎚/min이며, 에칭 레이트의 평균값에 대한 편차는, ±4.4%였다. 이 에칭 레이트의 평균값과, 편차는, 모두 미리 정해진 허용 스펙을 만족시키는 것이었다. 또한, 도 6의 그래프(266)에 나타내는 바와 같이, 처리 용기(10)의 측벽(102)에 도전체(201)가 마련되고, 또한, 배치대(20)의 측면에 도전체(202) 대신에 유전체판이 마련된 본 실시형태의 플라즈마 처리 장치(1)에서는, 웨이퍼(W)의 직경 방향을 따른 에칭 레이트의 평균값은, 59.7 ㎚/min이며, 에칭 레이트의 평균값에 대한 편차는, ±7.3%였다. 이 에칭 레이트의 평균값과, 편차는, 모두 미리 정해진 허용 스펙을 만족시키는 것이었다. 또한, 도 6의 그래프(268)에 나타내는 바와 같이, 배기 유로(62)의 배치대(20)의 측면에 도전체(202)가 마련되고, 또한, 처리 용기(10)의 측벽(102)에 도전체(201) 대신에 유전체판이 마련된 본 실시형태의 플라즈마 처리 장치(1)에서는, 웨이퍼(W)의 직경 방향을 따른 에칭 레이트의 평균값은, 58.6 ㎚/min이며, 에칭 레이트의 평균값에 대한 편차는, ±5.8%였다. 이 에칭 레이트의 평균값과, 편차는, 모두 미리 정해진 허용 스펙을 만족시키는 것이었다. 즉, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면 중 적어도 어느 한쪽에 도전체가 마련된 경우, 배기 유로(62)에 도전체가 마련되지 않는 경우와 비교하여, 웨이퍼(W)의 에칭 레이트의 균일성이 향상되었다. 이것은, 배기 유로(62)의 처리 용기(10)의 측벽(102) 및 배치대(20)의 측면 중 적어도 어느 한쪽에 도전체가 마련된 경우, 도전체의 접지 전극으로서의 기능에 의해 플라즈마가 웨이퍼(W)의 중앙부의 상방 및 도전체(201) 및 도전체(202)의 상방으로 넓어지기 때문이라고 생각된다.
이상, 본 실시형태의 플라즈마 처리 장치(1)에서는, 상부 전극(25) 중 적어도 일부와 대향하도록 도전체(201) 및 도전체(202)가 배기 유로(62)에 배치되고, 도전체의 웨이퍼(W)의 피처리면에 대한 높이 방향의 거리가 미리 정해진 범위 내로 설정되었다. 이에 의해, 처리 용기(10)의 내부에서 플라즈마(P')가 생성된 경우, 생성된 플라즈마(P')는, 배기 유로(62)에 마련된 도전성의 배플판(108)의 방향으로 돌아서 들어가는 일없이, 웨이퍼(W)의 중앙부의 상방 및 도전체(201) 및 도전체(202)의 상방으로 넓어진다. 그 결과, 웨이퍼(W)의 중앙부에 대응하는 에칭 레이트와, 웨이퍼(W)의 주연부에 대한 에칭 레이트의 차이가 억제되기 때문에, 웨이퍼(W)의 에칭 레이트의 균일성을 향상시킬 수 있다.
1: 플라즈마 처리 장치
10: 처리 용기
20: 배치대(하부 전극)
25: 상부 전극
62: 배기 유로
65: 배기 장치
101: 포커스 링
106: 정전 척
107: 용사막
108: 정류판(배플판)
201, 202: 도전체

Claims (2)

  1. 처리 용기와,
    상기 처리 용기 내에 처리 가스를 공급하는 가스 공급부와,
    상기 처리 용기 내에 마련되며, 피처리 기판이 배치되는 배치대와,
    상기 배치대의 상방에 마련된 상부 전극과,
    상기 상부 전극 및 상기 배치대 중 적어도 어느 한쪽에 고주파 전력을 공급함으로써, 상기 처리 용기 내에 있어서 처리 가스의 플라즈마를 생성하는 플라즈마 생성부와,
    상기 처리 용기의 측벽과, 상기 배치대의 측면에 의해 형성된 배기 유로와,
    상기 배기 유로에 마련되며, 상기 배기 유로에 의해 상기 처리 용기의 외부로 배출되는 처리 가스의 흐름을 조정하는 도전성의 정류판과,
    상기 배기 유로에 있어서의 상기 정류판보다 높은 위치로서, 상기 배치대에 배치된 상기 피처리 기판보다 낮은 위치에 상기 상부 전극 중 적어도 일부와 대향하도록 배치되며, 상기 피처리 기판의 피처리면에 대한 높이 방향의 거리가 미리 정해진 범위 내로 설정된 도전체
    를 구비한 것을 특징으로 하는 플라즈마 처리 장치.
  2. 제1항에 있어서, 상기 도전체는, 상기 배기 유로의 상기 처리 용기의 측벽측 및 상기 배치대의 측면측 중 적어도 어느 한쪽에 마련된 것을 특징으로 하는 플라즈마 처리 장치.
KR1020150173217A 2014-12-16 2015-12-07 플라즈마 처리 장치 KR102352699B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2014-254376 2014-12-16
JP2014254376A JP6423706B2 (ja) 2014-12-16 2014-12-16 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20160073305A true KR20160073305A (ko) 2016-06-24
KR102352699B1 KR102352699B1 (ko) 2022-01-17

Family

ID=56111871

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150173217A KR102352699B1 (ko) 2014-12-16 2015-12-07 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US10276405B2 (ko)
JP (1) JP6423706B2 (ko)
KR (1) KR102352699B1 (ko)
TW (1) TWI671782B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200091342A (ko) * 2019-01-22 2020-07-30 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20220065683A (ko) * 2020-11-13 2022-05-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치와 그 제조 방법, 및 플라즈마 처리 방법

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
CN105763084B (zh) * 2016-03-01 2018-11-16 东南大学 一种三相双t型五电平变流器及其控制方法
US11387079B2 (en) * 2016-06-03 2022-07-12 Evatec Ag Plasma etch chamber and method of plasma etching
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
JP7103910B2 (ja) * 2018-10-15 2022-07-20 東京エレクトロン株式会社 組付け状態提示装置および組付け状態提示方法
JP7133454B2 (ja) * 2018-12-06 2022-09-08 東京エレクトロン株式会社 プラズマ処理装置
JP7232705B2 (ja) * 2019-05-16 2023-03-03 東京エレクトロン株式会社 プラズマ処理装置
JP7068230B2 (ja) * 2019-05-22 2022-05-16 東京エレクトロン株式会社 基板処理方法
JP7285152B2 (ja) 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
CN111074236A (zh) * 2019-12-27 2020-04-28 重庆康佳光电技术研究院有限公司 一种化学气相沉积装置
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
JP2023137352A (ja) * 2022-03-18 2023-09-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
JP2007258471A (ja) 2006-03-23 2007-10-04 Tokyo Electron Ltd プラズマ処理装置
KR100938635B1 (ko) * 2006-11-15 2010-01-22 어플라이드 머티어리얼스, 인코포레이티드 반경 방향 플라즈마 분포에 대한 개선된 자기 제어를 위한플라즈마 제한 배플 및 유동비 이퀄라이저

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6531069B1 (en) * 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
US20020038791A1 (en) * 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
JP4330315B2 (ja) * 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
KR20030090305A (ko) * 2002-05-22 2003-11-28 동경엘렉트론코리아(주) 플라즈마 발생장치의 가스 배기용 배플 플레이트
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US7686918B2 (en) * 2002-06-21 2010-03-30 Tokyo Electron Limited Magnetron plasma processing apparatus
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
JP4255747B2 (ja) * 2003-05-13 2009-04-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
JP4672455B2 (ja) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US20070266945A1 (en) * 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
JP5168907B2 (ja) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
KR20090024522A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
KR100963297B1 (ko) * 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
KR100927375B1 (ko) * 2007-09-04 2009-11-19 주식회사 유진테크 배기 유닛 및 이를 이용하는 배기 조절 방법, 상기 배기 유닛을 포함하는 기판 처리 장치
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
KR101091309B1 (ko) * 2009-08-18 2011-12-07 주식회사 디엠에스 플라즈마 식각장치
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
JP5171969B2 (ja) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 基板処理装置
JP2013084552A (ja) * 2011-09-29 2013-05-09 Tokyo Electron Ltd ラジカル選択装置及び基板処理装置
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
US8747610B2 (en) * 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
JP6273188B2 (ja) * 2013-10-31 2018-01-31 東京エレクトロン株式会社 プラズマ処理方法
KR102293092B1 (ko) * 2013-11-12 2021-08-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN103730318B (zh) * 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
KR101552666B1 (ko) * 2013-12-26 2015-09-11 피에스케이 주식회사 기판 처리 장치 및 방법
JP6438320B2 (ja) * 2014-06-19 2018-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
JP6523714B2 (ja) * 2015-03-05 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
JP6573498B2 (ja) * 2015-07-22 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置
JP6607795B2 (ja) * 2016-01-25 2019-11-20 東京エレクトロン株式会社 基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
JP2007258471A (ja) 2006-03-23 2007-10-04 Tokyo Electron Ltd プラズマ処理装置
KR100938635B1 (ko) * 2006-11-15 2010-01-22 어플라이드 머티어리얼스, 인코포레이티드 반경 방향 플라즈마 분포에 대한 개선된 자기 제어를 위한플라즈마 제한 배플 및 유동비 이퀄라이저

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200091342A (ko) * 2019-01-22 2020-07-30 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20220065683A (ko) * 2020-11-13 2022-05-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치와 그 제조 방법, 및 플라즈마 처리 방법

Also Published As

Publication number Publication date
JP6423706B2 (ja) 2018-11-14
US10276405B2 (en) 2019-04-30
TWI671782B (zh) 2019-09-11
TW201633362A (zh) 2016-09-16
KR102352699B1 (ko) 2022-01-17
US20160172217A1 (en) 2016-06-16
JP2016115848A (ja) 2016-06-23

Similar Documents

Publication Publication Date Title
KR102352699B1 (ko) 플라즈마 처리 장치
KR102430205B1 (ko) 플라즈마 처리 장치
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
CN101540277B (zh) 等离子体处理装置
KR101124811B1 (ko) 플라즈마 처리 장치
JP2020534667A (ja) 2つの埋込電極を有する基板支持体
TW201511077A (zh) 電漿處理裝置及電漿處理方法
KR20030083729A (ko) 플라즈마 처리 장치
JP2012038461A (ja) プラズマ処理装置
CN111354672B (zh) 静电卡盘及等离子体加工装置
TWI662585B (zh) 電漿處理裝置
JP4137419B2 (ja) プラズマ処理装置
US20040173573A1 (en) Oxide film etching method
JP2023053335A (ja) 載置台及び基板処理装置
KR20070048357A (ko) 균일한 플라즈마를 생성하는 정전척
KR20080060834A (ko) 플라즈마 처리 장치
TW201324577A (zh) 等離子體處理裝置及應用於等離子處理裝置的邊緣環
TWI835847B (zh) 載置台及基板處理裝置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant