KR20160032182A - 모놀리식 삼차원(3d) 집적 회로(ic)(3dic) 기술을 사용한 완전한 시스템-온-칩(soc) - Google Patents

모놀리식 삼차원(3d) 집적 회로(ic)(3dic) 기술을 사용한 완전한 시스템-온-칩(soc) Download PDF

Info

Publication number
KR20160032182A
KR20160032182A KR1020167003723A KR20167003723A KR20160032182A KR 20160032182 A KR20160032182 A KR 20160032182A KR 1020167003723 A KR1020167003723 A KR 1020167003723A KR 20167003723 A KR20167003723 A KR 20167003723A KR 20160032182 A KR20160032182 A KR 20160032182A
Authority
KR
South Korea
Prior art keywords
3dic
tiers
integrated circuit
monolithic
dimensional
Prior art date
Application number
KR1020167003723A
Other languages
English (en)
Other versions
KR101832330B1 (ko
Inventor
양 두
Original Assignee
퀄컴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 퀄컴 인코포레이티드 filed Critical 퀄컴 인코포레이티드
Publication of KR20160032182A publication Critical patent/KR20160032182A/ko
Application granted granted Critical
Publication of KR101832330B1 publication Critical patent/KR101832330B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

상세한 설명에 개시된 실시예들은 모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 집적 기술을 사용한 완전한 시스템-온-칩(SOC) 솔루션을 포함한다. 본 개시물은 시스템 온 어 칩(system on a chip)을 생성하기 위해 모놀리식 3DIC 내의 층들, 및 모놀리식 인터티어 비아(MIV: monolithic intertier via)들을 통과하는 티어들 사이에서 가능한 수반되는 짧은 인터커넥션들을 맞춤화하는 능력의 예를 포함한다. 특히, 3DIC의 상이한 티어들은 상이한 기능을 지원하도록 구성되고, 그리고 상이한 설계 기준들을 준수한다. 따라서, 3DIC는 아날로그 층, 더 높은 전압 임계치를 갖는 층들, 더 낮은 누설 전류를 갖는 층들, 상이한 베이스 재료들을 필요로 하는 컴포넌트들을 구현하기 위한 상이한 재료의 층들 등을 가질 수 있다. 적층된 다이들과는 달리, 상부 층들은 하부 층들과 동일한 크기일 수 있는데, 그 이유는 어떠한 외부 와이어링 커넥션들도 요구되지 않기 때문이다.

Description

모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 기술을 사용한 완전한 시스템-온-칩(SOC){COMPLETE SYSTEM-ON-CHIP (SOC) USING MONOLITHIC THREE DIMENSIONAL (3D) INTEGRATED CIRCUIT (IC) (3DIC) TECHNOLOGY}
우선권
[0001] 본 출원은, 2013년 7월 16일자로 출원되고 "COMPLETE SYSTEM-ON-CHIP (SOC) USING MONOLITHIC THREE DIMENSIONAL (3D) INTEGRATED CIRCUIT (IC) (3DIC) TECHNOLOGY"로 명명된 U.S. 가 특허 출원 일련 번호 61/846,648에 대한 우선권을 주장하며, 이 출원은 본원에 인용에 의해 그 전체가 통합된다.
[0002] 또한, 본 출원은, 2013년 8월 29일자로 출원되고 "COMPLETE SYSTEM-ON-CHIP (SOC) USING MONOLITHIC THREE DIMENSIONAL (3D) INTEGRATED CIRCUIT (IC) (3DIC) TECHNOLOGY"로 명명된 U.S. 특허 출원 일련 번호 14/013,399에 대한 우선권을 주장하며, 이 출원은 본원에 인용에 의해 그 전체가 통합된다.
[0003] 본 개시물의 기술은 일반적으로, 시스템-온-칩(SOC: system-on-chip) 집적 회로(IC: integrated circuit)들에 관한 것이다.
[0004] 모바일 통신 디바이스들이 현재 사회에서 흔해 졌다. 이들 모바일 디바이스들의 보급은 부분적으로, 이러한 디바이스들 상에서 이제 가능하게 된 많은 기능들에 의해 이루어졌다. 이러한 기능들에 대한 요구는 프로세싱 능력 요건들을 증가시키고, 그리고 더 강력한 배터리들에 대한 필요를 생성한다. 모바일 통신 디바이스의 하우징의 제한된 공간 내에서, 배터리들은 프로세싱 회로와 경합한다. 제한된 공간은, 컴포넌트들의 지속적인 소형화 및 회로 내에서의 전력 소모에 대한 압박의 원인이 된다. 소형화는 모바일 통신 디바이스들의 집적 회로(IC: integrated circuit)들에서의 특정 관심사였지만, 다른 디바이스들의 IC들에 대한 소형화 노력들이 또한 진행되어왔다.
[0005] 디지털 컴포넌트들을 소형화하고 훨씬 더 많은 디지털 기능들을 단일 집적 회로(IC) 안에 밀어 넣는데 더 많은 노력들이 이루어졌다. 그러나, 지금까지, 이를테면, 예컨대, 셀룰러 전화 또는 다른 모바일 통신 디바이스에 대한 신호 프로세싱 엘리먼트 및 라디오 주파수(RF: radio frequency) 트랜시버를 설계할 때, 아날로그 컴포넌트 및 디지털 컴포넌트 둘 다를 단일 IC 내에 포함시키는 것은 어렵다는 것이 증명되었다. 마찬가지로, 심지어 디지털/아날로그 코인(coin)의 동일한 면 내에서도, 이질적인 물리적 요건들을 갖는 컴포넌트들을 단일 IC 안에 통합시키는 것이 때때로 어려울 수 있다. 예컨대, 고속 요건을 갖는 엘리먼트들은 저 전류 누설을 요구하는 엘리먼트들과 동일한 칩 안에 통합되기가 어려울 수 있다. 이러한 엘리먼트들을 단일 칩 안에 결속시킬 때의 이러한 어려움은 사실상 시스템-온-칩(SOC: system-on-chip) 솔루션을 비실용적이게 만들었다. 대부분의 디바이스들에서, 아날로그 칩이 생성되고, 그리고 디지털 칩에 전기적으로 커플링된다. 커플링은 전기적 전도체들을 요구하고, 그리고 심각한 면적 페널티를 야기하는데, 그 이유는 디바이스 내의 공간이 이들 전도체들에 바쳐지기 때문이다. 전도체들에 대한 이러한 공간의 사용은 일반적인 소형화 목표들과 충돌한다.
[0006] 이들 경합하는 설계 기준들에 대한 하나의 타협 솔루션이 다이(die) 적층 설계들 또는 다른 패키지형 시스템(SIP: system in package) 어레인지먼트들였다. 이러한 다이 적층 어레인지먼트들에서는, 디지털 다이가 아날로그 다이의 위에 적층되거나 또는 그 반대이다. 그러나, 다이들을 인터커플링시키는 공간이 여전히 쓰인다. 마찬가지로, 상부 다이가 하단 다이보다 보통 더 작고, 이는 지구라트(ziggurat)와 같은 형상을 형성한다. 서로 적층된 세 개만큼 많은 다이들을 갖는 상용 구현들이 존재하지만, 이들 구현들은 실질적인 공간 절감들을 갖지 않는다. 따라서, 정확하게 통합된 SOC에 대한 필요가 남아 있다.
[0007] 상세한 설명에 개시된 실시예들은 모놀리식 삼차원(3D: three dimensional) 집적 회로(IC: integrated circuit)(3DIC) 집적 기술을 사용한 완전한 시스템-온-칩(SOC: system-on-chip) 솔루션을 포함한다. 본 개시물은, (SOC)로 둘 다 지칭되는 "시스템 온 어 칩(system on a chip)" 또는 "시스템-온-칩"을 생성하기 위해 모놀리식 3DIC 내의 층들, 및 모놀리식 인터티어 비아(MIV: monolithic intertier via)들을 통과하는 티어들 사이에서 가능한 수반되는 짧은 인터커넥션들을 맞춤화하는 능력의 예들을 포함한다. 특히, 3DIC의 상이한 티어들은 상이한 기능을 지원하도록 구성되고, 그리고 상이한 설계 기준들을 준수한다. 따라서, 3DIC는 하나 또는 그 초과의 아날로그 층들, 디지털 층들, 더 높은 전압 임계치를 갖는 층들, 더 낮은 누설 전류를 갖는 층들, 전원 층들, 상이한 베이스 재료들을 필요로 하는 컴포넌트들을 구현하기 위한 상이한 재료의 층들 등을 가질 수 있다. 패키지형 시스템(SIP) 어레인지먼트들의 적층된 다이들과는 달리, 상부 층들은 하부 층들과 동일한 크기일 수 있는데, 그 이유는 어떠한 외부 와이어링 커넥션들도 요구되지 않기 때문이다. 단일 3DIC 내에 무수한 층들을 가짐으로써, 전체 시스템이 단일 IC에서 제공될 수 있고, 이에 따라 SOC를 제공할 수 있다.
[0008] 이와 관련하여, 일 실시예에서, 모놀리식 3DIC 시스템이 제공된다. 모놀리식 3DIC 시스템은, 하나가 다른 하나 위에 있는 식으로 포지셔닝된 복수의 티어(tier)들을 포함한다. 또한, 시스템은, 계산, 디지털 프로세싱, 아날로그 프로세싱, 라디오 주파수(RF: radio frequency) 신호 프로세싱, 아날로그/믹싱된 신호 프로세싱, 전력 관리, 센서, 전원, 배터리, 메모리, 디지털 논리, 저 누설, 저 잡음/고 이득, 클록, 조합 논리(combinatorial logic), 및 순차 논리로 구성된 그룹으로부터 선택된 복수의 기능 엘리먼트들을 포함한다. 또한, 시스템은, 복수의 기능 엘리먼트들이 복수의 티어들 사이에 분산됨을 포함한다. 또한, 시스템은, 복수의 티어들을 전기적으로 커플링시키는 복수의 MIV를 포함한다. 또한, 시스템은, 복수의 기능 엘리먼트들이 완전한 자립형 SOC를 제공함을 포함한다.
[0009] 다른 실시예에서, 모놀리식 3DIC 시스템이 개시된다. 모놀리식 3DIC 시스템은, 하나가 다른 하나 위에 있는 식으로 포지셔닝된 복수의 티어들을 포함한다. 또한, 시스템은, 계산, 디지털 프로세싱, 아날로그 프로세싱, RF 신호 프로세싱, 아날로그/믹싱된 신호 프로세싱, 전력 관리, 센서, 전원, 배터리, 메모리, 디지털 논리, 저 누설, 저 잡음/고 이득, 클록, 조합 논리, 및 순차 논리로 구성된 그룹으로부터 선택된 복수의 기능들을 제공하기 위한 수단을 포함한다. 또한, 시스템은, 복수의 기능들을 제공하기 위한 수단이 복수의 티어들 사이에 분산됨을 포함한다. 또한, 시스템은, 복수의 티어들을 전기적으로 인터커플링시키기 위한 수단을 포함한다. 또한, 시스템은, 복수의 기능들을 제공하기 위한 수단이 완전한 자립형 SOC를 제공함을 포함한다.
[0010] 다른 실시예에서, 3DIC 시스템을 구현하는 방법이 개시된다. 방법은, 3DIC 내에 복수의 티어들을 제공하는 단계를 포함한다. 또한, 방법은, 복수의 티어들에 걸쳐 다수의 기능 엘리먼트들을 제공하는 단계를 포함한다. 또한, 방법은, MIV를 사용하여 복수의 티어들을 인터커플링시키는 단계를 포함한다. 또한, 방법은, 완전한 자립형 SOC에 이 3DIC를 제공하는 단계를 포함한다.
[0011] 도 1은 그 안에 있는 집적 회로(IC)들을 사용하는 통상적인 모바일 단말의 블록도이다;
[0012] 도 2는 그 안에 있는 IC들을 사용하는 통상적인 컴퓨팅 디바이스의 블록도이다;
[0013] 도 3a-도 3c는 패키지형 시스템(SIP)을 생성하는 예시적인 통상적인 다이 적층 노력들의 사시도들이다;
[0014] 도 4는 본 개시물의 예시적 실시예에 따른, 삼차원(3D) 집적 회로(IC)(3DIC) 시스템-온-칩(SOC)의 측면도(side elevational view)이다;
[0015] 도 5는 3DIC SOC를 설계하기 위한 예시적 프로세스를 예시하는 흐름도이다;
[0016] 도 6은 그 안에 3DIC SOC를 갖는 모바일 단말의 블록도이다; 그리고
[0017] 도 7은 그 안에 3DIC SOC를 갖는 컴퓨팅 디바이스의 블록도이다.
[0018] 이제, 도면의 도들을 참조하여, 본 개시물의 여러 예시적 실시예들이 설명된다. 단어 "예시적"은 본원에서 "예, 실례, 또는 예시로서의 역할을 하는"을 의미하는데 사용된다. 본원에서 "예시적"인 것으로서 설명된 임의의 실시예가 반드시 다른 실시예들보다 바람직하거나 또는 유리한 것으로서 이해되어야 하는 것은 아니다.
[0019] 상세한 설명에 개시된 실시예들은 모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 집적 기술을 사용한 완전한 시스템-온-칩 솔루션을 포함한다. 본 개시물은, (SOC)로 둘 다 지칭되는 "시스템 온 어 칩" 또는 "시스템-온-칩"을 생성하기 위해 모놀리식 3DIC 내의 층들, 및 모놀리식 인터티어 비아(MIV)들을 통과하는 티어들 사이에서 가능한 수반되는 짧은 인터커넥션들을 맞춤화하는 능력의 예들을 포함한다. 특히, 3DIC의 상이한 티어들은 상이한 기능을 지원하도록 구성되고, 그리고 상이한 설계 기준들을 준수한다. 따라서, 3DIC는 하나 또는 그 초과의 아날로그 층들, 디지털 층들, 더 높은 전압 임계치를 갖는 층들, 더 낮은 누설 전류를 갖는 층들, 전원 층들, 상이한 베이스 재료들을 필요로 하는 컴포넌트들을 구현하기 위한 상이한 재료의 층들 등을 가질 수 있다. 패키지형 시스템(SIP) 어레인지먼트들의 적층된 다이들과는 달리, 상부 층들은 하부 층들과 동일한 크기일 수 있는데, 그 이유는 어떠한 외부 와이어링 커넥션들도 요구되지 않기 때문이다. 단일 3DIC 내에 무수한 층들을 가짐으로써, 전체 시스템이 단일 IC에서 제공될 수 있고, 이에 따라 SOC를 제공할 수 있다.
[0020] 본 개시물의 세부사항들을 다루기 전에, 본원에 제안된 SOC 어드밴스들로부터 이득을 얻을 수 있는 통상적인 디바이스들의 간략한 설명이 제공된다. 이와 관련하여, 도 1 및 도 2는 원하는 기능을 발효시키기 위해 다수의 IC들을 사용하는 통상적인 디바이스들을 예시한다. 도 3a-도 3c는 통상적인 디바이스들의 일부에서 현재 사용되는 SIP 솔루션들을 예시한다. 본 개시물의 실시예들의 논의는 하기에서 도 4를 참조하여 시작한다.
[0021] 기능을 구현하기 위해 다수의 IC들을 현재 사용하는 많은 디바이스들이 존재한다. 몇 개만이 본원에 예시되지만, 본 개시물이 본원에 예시된 디바이스들을 넘어서는 디바이스들에 적용 가능함이 인식되어야 한다. 이와 관련하여, 도 1은 통상적인 라디오 주파수(RF) 모바일 단말(10)을 예시한다. 모바일 단말들, 예컨대, 셀룰러 전화들, 스마트폰들, 무선호출기들 등이 흔하다. 모바일 단말(10)은 수신기(12), 송신기(14), 안테나(16), 스위치(18), 베이스밴드 프로세서(20), 제어 시스템(22), 주파수 합성기(24), 및 사용자 인터페이스(26)를 포함할 수 있다.
[0022] 수신기(12)는 기지국(미도시)에 의해 제공되는 하나 또는 그 초과의 원격 송신기들로부터 RF 신호를 지닌 정보를 수신한다. 저 잡음 증폭기(LNA: low noise amplifier)(28)는 신호를 증폭시킨다. 필터(30)가 수신 신호에서 브로드밴드 간섭을 최소화시키는 반면에, 하향 변환 및 디지털화 회로(32)는 필터링된 수신 신호를 중간 또는 베이스밴드 주파수 신호로 하향 변환하며, 그 다음 이 중간 또는 베이스밴드 주파수 신호는 하나 또는 그 초과의 디지털 스트림들로 디지털화된다. 수신기 프론트 엔드(22)는 통상적으로, 주파수 합성기(24)에 의해 생성되는 하나 또는 그 초과의 믹싱 주파수들을 사용한다. 베이스밴드 프로세서(20)는 디지털화된 수신 신호를 프로세싱하여, 신호에서 전달되는 정보 또는 데이터 비트들을 추출한다. 그로 인해서, 베이스밴드 프로세서(20)는 통상적으로 하나 또는 그 초과의 디지털 신호 프로세서(DSP: digital signal processor)들로 구현된다.
[0023] 도 1을 계속 참조하면, 송신 측에서, 베이스밴드 프로세서(20)는 제어 시스템(22)으로부터, 음성, 데이터, 또는 제어 정보를 표현할 수 있는 디지털화된 데이터를 수신하고, 이 베이스밴드 프로세서(20)는 송신을 위해 이 디지털화된 데이터를 인코딩한다. 인코딩된 데이터는 RF 송신기(14)에 출력되는데, 여기서 이 인코딩된 데이터는 변조기(34)에 의해 캐리어 신호를 원하는 송신 주파수로 변조시키는데 사용된다. RF 전력 증폭기(36)는 변조된 캐리어 신호를 송신에 적절한 레벨로 증폭시키고, 그리고 증폭되고 변조된 캐리어 신호를 스위치(18)를 통해 안테나(16)에 전달한다.
[0024] 도 1을 계속 참조하면, 사용자는 사용자 인터페이스(26)를 통해 모바일 단말(10)과 상호작용할 수 있고, 사용자 인터페이스(26)는 마이크로폰, 스피커, 키패드, 및 디스플레이와 연관된 회로(38)를 포함할 수 있다. 인터페이스 회로(38)는 통상적으로 아날로그-대-디지털(analog-to-digital) 컨버터들, 디지털-대-아날로그(digital-to-analog) 컨버터들, 증폭기들 등을 포함한다. 부가하여, 인터페이스 회로(38)는 음성 인코더/디코더를 포함할 수 있는데, 이 경우 인터페이스 회로(38)는 베이스밴드 프로세서(20)와 직접적으로 통신할 수 있다. 수신 신호에 인코딩된 오디오 정보는 베이스밴드 프로세서(20)에 의해 복구되고, 그리고 인터페이스 회로(38)에 의해, 스피커를 구동시키기에 적절한 아날로그 신호로 변환된다. 키패드 및 디스플레이는 사용자가 모바일 단말(10)과 상호작용하는 것을 가능하게 한다. 예컨대, 키패드 및 디스플레이는, 사용자가 다이얼링될 번호들을 입력하고, 주소록 정보에 액세스하고 등을 가능하게 할 뿐만 아니라, 호출 진행 정보를 모니터링하는 것을 가능하게 할 수 있다.
[0025] 실제, 모바일 단말(10)은 모바일 단말(10)의 상이한 기능들에 대한 하나 또는 그 초과의 IC들을 가질 수 있다. 예컨대, 스위치(18)가 하나의 IC이고, 수신기(12)가 다른 IC이며, 베이스밴드 프로세서(20)가 제3 IC이고, 송신기(14)가 제4 IC이며, 그리고 인터페이스 회로(38)가 제5 IC일 수 있다. 다양한 IC들은 아날로그, 디지털로 설계될 수 있거나, 또는 그렇지 않으면 개개의 IC들 상에 배치된 외견상으로 호환성이 없는 기술 타입들을 가질 수 있다. 이들 다양한 IC들이 일반적인 바와 같이 이차원 레이아웃으로 배열된다면, 다양한 IC들을 상호연결시키는데 요구되는 와이어링의 양은 큰 부피의 공간을 요구하며, 이는 산업의 소형화 목표들에 모순된다.
[0026] 도 2를 참조하면, 도 2는 프로세서-기반 시스템(60)의 예를 예시한다. 프로세서-기반 시스템(60)은 하나 또는 그 초과의 중앙 프로세싱 유닛(CPU: central processing unit)들(62)을 포함하고, 각각은 하나 또는 그 초과의 프로세서들(64)을 포함한다. CPU(들)(62)는 일시적으로 저장된 데이터에 대한 신속한 액세스를 위해 프로세서(들)(64)에 커플링된 캐시 메모리(66)를 가질 수 있다. CPU(들)(62)는 시스템 버스(67)에 커플링되고, 그리고 프로세서-기반 시스템(60)에 포함된 디바이스들을 인터커플링시킬 수 있다. 잘 알려진 바와 같이, CPU(들)(62)는 시스템 버스(67)를 통해 어드레스, 제어, 및 데이터 정보를 교환함으로써 이들 다른 디바이스들과 통신한다.
[0027] 도 2를 계속 참조하면, CPU(들)(62)는 예들로서, 메모리 시스템(68), 하나 또는 그 초과의 입력 디바이스들(70), 하나 또는 그 초과의 출력 디바이스들(72), 하나 또는 그 초과의 네트워크 인터페이스 디바이스들(74), 및 하나 또는 그 초과의 디스플레이 제어기들(78)을 포함하는 이들 다른 디바이스들과 통신할 수 있다. 입력 디바이스(들)(70)는, 이에 제한되는 것은 아니지만 입력 키들, 스위치들, 음성 프로세서들 등을 포함하는 임의의 타입의 입력 디바이스를 포함할 수 있다. 출력 디바이스(들)(72)는, 이에 제한되는 것은 아니지만 오디오, 비디오, 다른 시각적 표시기들 등을 포함하는 임의의 타입의 출력 디바이스를 포함할 수 있다. 네트워크 인터페이스 디바이스(들)(74)는 네트워크(76)로의, 그리고 네트워크(76)로부터의 데이터의 교환을 허용하도록 구성된 임의의 디바이스들일 수 있다. 네트워크(76)는, 이에 제한되는 것은 아니지만 유선 또는 무선 네트워크, 전용 또는 공용 네트워크, 로컬 영역 네트워크(LAN: local area network), 와이드 로컬 영역 네트워크(WLAN:wide local area network), 및 인터넷을 포함하는 임의의 타입의 네트워크일 수 있다. 네트워크 인터페이스 디바이스(들)(74)는 원하는 임의의 타입의 통신 프로토콜을 지원하도록 구성될 수 있다.
[0028] CPU(들)(62)는 또한, 하나 또는 그 초과의 디스플레이들(80)에 전송되는 정보를 제어하기 위해 시스템 버스(67)를 통해 디스플레이 제어기(들)(78)에 액세스하도록 구성될 수 있다. 디스플레이 제어기(들)(78)는 디스플레이될 정보를 하나 또는 그 초과의 비디오 프로세서들(82)을 통해 디스플레이(들)(80)에 전달하고, 이 하나 또는 그 초과의 비디오 프로세서들(82)은 디스플레이될 정보를 디스플레이(들)(80)에 적절한 포맷으로 프로세싱한다. 디스플레이(들)(80)는, 이에 제한되는 것은 아니지만 음극선관(CRT: cathode ray tube), 액정표시장치(LCD: liquid crystal display), 플라즈마 디스플레이 등을 포함하는 임의의 타입의 디스플레이를 포함할 수 있다.
[0029] 다시, 도 2의 기능 블록들 중 많은 기능 블록들이 별개의 IC들로 구현될 수 있음이 인식되어야 한다. 이들 IC들 각각은 디바이스의 인쇄 회로 보드(PCB: printed circuit board)에 대한 그 자신의 커넥션들, 뿐만 아니라 디바이스에 있는 다른 IC들에 대한 통신을 허용하기 위한 와이어링 커넥션들을 갖는다. 위에서 주목된 바와 같이, 많은 와이어링 커넥션들의 존재는 디바이스 내의 공간을 소모하고, 그리고 다른 점에서 보면 바람직하지 않다.
[0030] 위에서 주목된 바와 같이, 단 두 개의 디바이스들만이 도 1 및 도 2에서 예시되지만, 제한 없이, 셋톱 박스, 엔터테인먼트 유닛, 내비게이션 디바이스, 통신 디바이스, 고정된 위치 데이터 유닛, 모바일 위치 데이터 유닛, 모바일 전화, 셀룰러 전화, 컴퓨터, 휴대용 컴퓨터, 데스크톱 컴퓨터, 퍼스널 디지털 어시스턴트(PDA: personal digital assistant), 모니터, 컴퓨터 모니터, 텔레비전, 튜너, 라디오, 위성 라디오, 뮤직 플레이어, 디지털 뮤직 플레이어, 휴대용 뮤직 플레이어, 디지털 비디오 플레이어, 비디오 플레이어, 디지털 비디오 디스크(DVD: digital video disc) 플레이어, 및 휴대용 디지털 비디오 플레이어를 비롯해 본 개시물의 실시예들로부터 이득을 얻을 수 있는 많은 프로세서-기반 디바이스들이 존재함이 인식되어야 한다.
[0031] 지금까지는, 이러한 디바이스들에 있는 복수의 IC들에 의해 소모되는 공간을 최소화하기 위한 통상적인 노력들은 SIP 어레인지먼트들에 초점을 맞추었다. 즉, IC들의 개수가 보존되지만, 패키지 안에 적층된다. 세 개의 이러한 적층 어레인지먼트들은 도 3a-도 3c를 참조하여 제공된다. 단일 IC 내에 상이한 기술들을 통합시킬 때 경험 되는 어려움 때문에, 개별적이고 별개의 IC들이 보존된다. 예컨대, 제작 기술들이 아날로그 컴포넌트와 디지털 컴포넌트 사이에 크게 상이하고, 이에 따라, 단일 IC에 컴포넌트들 둘 다를 포함시키기는 어렵다. 마찬가지로, 고속 회로를 지원하기 위한 제작 기술들은 저 전류 누설을 제공하는데 사용되는 그러한 기술들과 상이하고, 그리고 단일 IC에 양쪽 타입들의 컴포넌트들을 포함시키기는 어렵다. 요컨대, IC 내에서 상이한 기능들을 달성하기 위해서는 많은 충돌하는 기술 요건들이 존재한다.
[0032] 이와 관련하여, 도 3a는 다이 적층 시스템(90A)을 예시한다. 다이 적층 시스템(90A)은 제1 IC(94A)로부터 형성된 제1 층(92A), 및 제2 IC(98A)로부터 형성된 제2 층(96A)을 갖는다. 이 어레인지먼트는, 제1 IC(94A)와 제2 IC(98A) 사이에 어떠한 직접적인 와이어 커넥션도 존재하지 않는다는 점에서, 때때로 무선 본드로 지칭된다. 제1 IC(94A)는 외부 와이어링(100A)을 이용하여 제2 IC(98A)에 인터커플링된다. 외부 와이어링(100A)을 수용하기 위해, 제2 층(96A)은 제1 층(92A)보다 더 작다. 마찬가지로, 제1 IC(94A)는 외부 와이어링(102A)에 의해 디바이스(미도시) 내의 다른 엘리먼트들에 커플링된다. 외부 와이어링(100A 및 102A)에 대한 필요는 다이 적층 시스템(90A)을 구현하는데 요구되는 영역을 확장시킨다. 마찬가지로, 외부 와이어링(100A)의 존재 및 제2 층(96A)의 대응하는 더 작은 영역은, 더 적은 옵션들이 제2 IC(98A) 내의 컴포넌트들에 이용 가능함을 의미한다. 대안적으로, 제2 IC(98A) 내의 엘리먼트들이 특정한 크기를 갖는다면, 심지어 제1 IC(94A) 내의 엘리먼트들이 그 영역 전부를 요구하지 않더라도, 제1 층(92A)은 대응하게 더 커야 한다. 요컨대, 다이 적층 시스템(90A)은 완전한 시스템을 제공하기 위한 최적의 솔루션이 아니며, 그리고 본원에서 사용되는 SOC 솔루션이 아닌 것으로 구체적으로 정의된다.
[0033] 도 3b를 참조하면, 다이 적층 시스템(90B)은 다이 적층 시스템(90A)과 유사하지만, 외부 와이어링(100A) 대신에, 제1 IC(94B)를 제2 IC(98B)와 상호연결시키는데 솔더 범프들(100B)이 사용된다. 이 어레인지먼트는 플립-칩 어레인지먼트로 때때로 지칭된다. 페이스 대 페이스 본딩(face to face bonding)이 달성되지만, 두 개의 층들에 대해서만 달성된다. 둘보다 많은 층들이 사용된다면, 외부 와이어링(예컨대, 도 3a에서 사용된 외부 와이어링)이 요구된다. 그러나, 심지어 단 두 개의 층들에 대해서도, 다이 적층 시스템(90B)을 디바이스 내의 다른 엘리먼트들에 상호연결시키기 위해 외부 와이어링(102B)이 여전히 존재한다. 제1 IC(94B)의 상부 표면 상의 외부 와이어링(102B)의 포지셔닝은, 직전에 논의된 동일한 단점들로, 제2 IC(98B)가 제1 IC(94B)보다 더 작게 되도록 강제한다. 다시, 이러한 다이 적층 어레인지먼트는 본원에서 사용되는 SOC가 아닌 것으로 구체적으로 정의된다.
[0034] 도 3c를 참조하면, 다이 적층 시스템(90C)은 마찬가지로 다이 적층 시스템들(90A, 90B)과 유사하지만, 외부 와이어링(100A) 대신에, 솔더 범프들(100C)이 제1 IC(94C)를 제2 IC(98C)와 인터커플링시킨다. 마찬가지로, 비아들(104C)(이 비아들(104C)은 실리콘 관통 비아(TSV: through silicon via)들일 수 있음)이 제1 IC(94C)를 통해 연장된다. TSV는 통상적으로 꽤 크고(예컨대, ~마이크론), 그리고 대응하게 대면적 페널티를 부과하는데, 그 이유는 제1 IC(94C) 내의 와이어링이 TSV 주위에서 라우팅되어야 하기 때문이다. 액티브 컴포넌트들을 위한 공간에 대한 이러한 라우팅 및 요건들은, 다시, 제1 IC(94C)가 제2 IC(98C)보다 더 크게 되도록 강제한다. 다시, 이러한 다이 적층 어레인지먼트는 본원에서 사용되는 SOC가 아닌 것으로 구체적으로 정의된다.
[0035] 다이 적층 시스템들(90A-90C) 각각에 대해, 다른 전자기 간섭(EMI: electromagnetic interference)에 대한 바람직하지 않은 누화가 존재할 수 있고, 이는 섬세한 아날로그 및/또는 RF 신호 프로세싱 유닛들의 잠재적 고장을 유도한다. 마찬가지로, 비교적 큰 칩 크기는 높은 수율 손실을 유발하고, 그리고 다른 패키징 과제들을 생성한다.
[0036] SIP의 크기 페널티들 및 다른 단점들과 대조적으로, 본 개시물은 모놀리식 3DIC 기술을 사용하여 사실상 단일 칩 SOC를 제공한다. 이에 따라, SOC는 3DIC 내의 다수의 티어들에 걸쳐 이종 기능들을 갖는 단일 3DIC로 만들어질 수 있다. 일부 기능들이 단일 티어 내에 공동 위치될 수 있는 반면에, 일부 기능들은 다수의 티어들에 걸쳐 분산될 수 있다. 이에 따라, 본 개시물의 SOC는, 상이한 기술들 또는 플레이버(flavor)들의 상이한 티어들에서 시스템 기능들의 이종 파티셔닝, 상이한 기술들 또는 플레이버들의 상이한 티어들에서 회로 기능들을 이종으로 파티셔닝하는 것, 그리고 상이한 기술들 또는 플레이버들의 상이한 티어들에서 상이한 기능들을 동종으로 파티셔닝하는 것을 허용한다.
[0037] 이와 관련하여, 도 4는 3DIC SOC(110)의 단순화된 단면도를 예시한다. 3DIC SOC(110)는 다수의 티어들(112)을 갖는다. 티어들(112)은 수소 컷팅 또는 다른 모놀리식 티어 형성 방법에 의해 형성될 수 있다. 예시적 수소 컷팅 프로세스에 관한 더 많은 정보를 위해, 관심 있는 독자는 2013년 2월 12일자로 출원된 U.S. 특허 출원 일련 번호 13/765,080를 참조하며, 이 출원은 본원에 인용에 의해 그 전체가 통합된다.
[0038] 위에서 주목된 바와 같이, 3DIC 기술의 사용은, 3DIC SOC(110) 내에 있는 티어들(112) 중 상이한 티어들이 상이한 기능들을 수행하고 단일 3DIC SOC(110)에서 특정한 디바이스의 기능들 전부를 제공하도록 허용한다. 예컨대, 3DIC SOC(110)는 모바일 단말, 예컨대, 도 1을 참조하여 위에서 설명된 모바일 단말(10)에 대한 RF 트랜시버 및 제어기일 수 있다. 이에 따라, 제1 티어(114)는 센서들 및 다른 큰 피처(feature) 크기 엘리먼트들을 포함한다.
[0039] 도 4를 계속 참조하면, 제2 티어(116)는 라디오 주파수, 아날로그 및/또는 전력 관리 집적 회로(PMIC: power management integrated circuit) 컴포넌트들, 예컨대, 수신기(22), 송신기(24) 및 듀플렉서/스위치(28)를 포함할 수 있다. 제2 티어(116)는 비교적 저 잡음이 되도록 설계될 수 있어, 들어오는 RF 아날로그 신호들이 왜곡되지 않는다.
[0040] 도 4를 계속 참조하면, 전자기(EM: electromagnetic) 차폐부(118)가 제2 티어(116)와 제3 티어(120) 사이에 포지셔닝될 수 있다. EM 차폐부(118)는 전도성 재료, 예컨대, 그래핀 층으로 형성될 수 있다. 3DIC에서의 그래핀 차폐부들에 관한 더 많은 정보를 위해, 관심 있는 독자는 2013년 2월 12일자로 출원된 U.S. 특허 출원 일련 번호 13/765,061을 참조하며, 이 출원의 개시물은 본원에 인용에 의해 그 전체가 통합된다.
[0041] EM 차폐부(118)의 존재는 제1 및 제2 티어들(114, 116)로부터의 잡음이 제3 티어(120)의 저 잡음 특성들에 영향을 끼치는 것을 막는 것을 돕는다. 제3 티어(120)는 모뎀 또는 다른 제어기를 가질 수 있다. 제3 티어(120) 상에 기능들을 수용하기 위해, 제3 티어(120)의 재료들 및 설계는 매체 속도 아키텍처를 촉진시키도록 선택될 수 있다.
[0042] 도 4를 계속 참조하면, 제4 및 제5 티어들(122, 124)은 동적 RAM(DRAM: dynamic RAM), 정적 RAM(SRAM: static RAM) 등을 비롯한 랜덤 액세스 메모리(RAM: random access memory)를 갖는 메모리 비트셀 어레이일 수 있다. 티어들(122, 124) 둘 다는, RAM의 동작을 개선시키기 위해 저 누설 회로를 제공하도록 설계될 수 있다.
[0043] 도 4를 계속 참조하면, 제6 및 제7 티어들(126, 128)은 일반적인 프로세싱 유닛 티어들일 수 있다. 제6 티어(126)가 디지털 신호 프로세서(DSP), 예컨대, 조합 논리(combination logic)를 사용하는 베이스밴드 프로세서(30)(도 1)를 포함할 수 있는 반면에, 제7 티어(128)는 순차 논리(sequential logic)에 의존하는 DSP를 포함할 수 있다. 티어들(126, 128) 둘 다는 누설에 관한 관심사들보다 고속들을 지원하도록 설계될 수 있다.
[0044] 예시적 실시예에서, 티어들은 MIV(130)에 의해 전기적으로 인터커플링된다. MIV에 관한 더 많은 정보를 위해, 관심 있는 독자는, IEEE/ACM 아시아 남태평양 설계 자동화 컨퍼런스(Asia South Pacific Design Automation Conference)의 회의록들에서, Shreedpad Panth 등에 의해 저술된 "High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology"(2013년; 681-686쪽)를 참조하며, 이는 이로써 인용에 의해 그 전체가 통합된다. TSV와 대조적으로, MIV는 지름이 대략 100 ㎚보다 작을 수 있고(즉, TSV의 마이크론 치수들보다 훨씬 더 작음) 그리고 200 ㎚ 또는 그 미만의 깊이일 수 있다. 추가로, 예시적 실시예에서, 다수의 티어들(112) 각각은 대략 400 ㎚ 두께일 수 있거나 또는 더 얇을 수 있다. 이들 치수들은 도 4의 삽도에서 예시된다.
[0045] 상이한 티어들에 상이한 기능들을 제공하고 그리고/또는 회로들을 상이한 티어들에 걸쳐 분할할 수 있음으로써, 배터리들, 센서들, 메모리, 에너지 하베스팅 기능들, PMIC, 프로세서들, 디지털 및 아날로그 컴포넌트들 등을 포함하는 완전한 시스템 IC가 가능하다. 각각의 티어는 그 각각의 티어에 대해 포지셔닝되는 기능들을 수용하도록 최적화될 수 있다. 부가하여, 매우 높은 밀도의 티어 대 티어 링크들(즉, MIV)은 고도의 웨이퍼 레벨 집적을 허용한다. 3DIC SOC는 동종 셀 레벨 3D 파티션 - 순차-조합 논리, 다중-티어 메모리 비트셀 어레이들을 가질 수 있다. 마찬가지로, 3DIC SOC는 세립(fine grain) 이종 3D 파티션, 예컨대, 디지털 코어에 대한 메모리, 비트셀 어레이-제어 논리 파티션들을 가질 수 있다. 이 유연성은 최적 시스템 기능들을 위한 넓은 범위의 기술 피처들을 허용한다.
[0046] 위에서 주목된 바와 같이, 예시적 실시예에서, 3DIC SOC는 도 6에 예시된 바와 같이 완전한 RF 트랜시버 및 베이스밴드 프로세서 모두 하나로 된 IC일 수 있다. 특히, 도 6은, 모바일 단말(150)의 회로 엘리먼트들이 단일 3DIC(152) 내에 포함된다는 점을 제외하고서, 모바일 단말(10)과 유사한 모바일 단말(150)을 예시한다. 원해진다면, 안테나를 3DIC 안에 통합시키는 것이 가능하더라도, 안테나(16) 및 사용자 인터페이스(26)는 3DIC(152)와 별개이다. 회로 엘리먼트들의 기능들은 동일하게 유지되고, 이에 따라, 동일한 번호들을 사용하여 참조된다. 마찬가지로, 프로세서, 배터리, 및 메모리를 갖는 제어 시스템이 단일 3DIC SOC에 있을 수 있다. 이러한 3DIC SOC는, 우월한 시스템 성능을 여전히 허용하면서 공간 절감 설계들을 허용하는데, 그 이유는 개별 티어들이 그 개별 티어들에 대해 포지셔닝되는 기능들에 맞춤화되기 때문이다. 차폐부들 또는 다른 절연 기술들의 사용은 개선된 신호 절연을 허용한다. 추가로, 어떠한 외부 와이어링 커넥션들도 상이한 층들 사이 또는 상이한 IC 사이에서 요구되지 않는다는 점에서, 전체적으로 감소된 복잡성이 존재한다. 예시적 실시예에서, 총 3DIC SOC는 일 ㎣보다 작을 수 있다.
[0047] 도 7을 참조하면, 프로세서-기반 시스템(60)과 유사한 프로세서-기반 시스템(154)은 프로세서-기반 시스템의 회로 엘리먼트들을 통합시키는 단일 3DIC(156)를 포함할 수 있다. 회로 엘리먼트들의 기능들은 동일하게 유지되고, 이에 따라, 동일한 번호들을 사용하여 참조된다.
[0048] 위에서 언급된 바와 같이, 3DIC(110)에 다수의 티어들(112)을 제공하는 것의 다른 이득들 중 하나는, 특정한 티어의 동작 특성들이 특정한 필요 또는 바람에 맞춤화될 수 있다는 점이다. 이러한 동작 특성들은, 상이한 재료들(예컨대, Si 대 GaAs)을 사용함으로써 또는 내부 레이턴시(latency) 또는 메모리 전류 누설과 같은 파라미터들을 변경하기 위해 상이한 타입들의 마스크들을 사용함으로써 발효될 수 있다. 파라미터들 또는 특성들, 그리고 이들이 메모리의 작동 프로파일을 변경시키는 방법에 관한 예시적 요약이 아래의 표 1에서 제공된다. 예컨대, 메모리 부분(들)의 메모리 셀 트랜지스터들의 트랜지스터 특성(들)은, 감소되는 전류 누설에 대해 증가된 내부 레이턴시를 트레이드오프하도록 수정될 수 있다. 이와 관련하여, 아래의 표 1은 다양한 트랜지스터 특성들을 예시하고, 이들은 메모리 부분(들)의 전류 누설 및 내부 레이턴시에 영향을 끼치도록 수정될 수 있다. 표 1은 메모리 셀 트랜지스터 채널 길이(L), 메모리 셀 트랜지스터 채널 폭(W), 및 메모리 셀 트랜지스터 임계치 전압(Vt)을 수정하는 것의 영향들을 예시한다. 부가하여, 표 1은 메모리 부분(들)을 제공하기 위해 HVt, NVt, 또는 LVt 메모리 셀 트랜지스터들 사이에서 선택하는 것의 영향들을 예시한다. 또한, 표 1은 메모리 셀 트랜지스터들의 바디(B) 단자를 바이어싱하는 것의 영향들을 예시한다. 표 1은, 수정이 메모리 부분(들)의 메모리 셀 트랜지스터들의 유도된 채널들의 드레인-소스 컨덕턴스(GDS)를 증가시키는지(+) 또는 감소시키는지(-)의 여부; 수정이 메모리 부분(들)의 메모리 셀 트랜지스터들의 유도된 채널들의 드레인-소스 저항(RDS)을 증가시키는지(+) 또는 감소시키는지(-)의 여부; 수정이 메모리 부분(들)의 전류 누설을 증가시키는지(+) 또는 감소시키는지(-)의 여부; 및 수정이 메모리 부분(들)의 내부 레이턴시를 증가시키는지(+) 또는 감소시키는지(-)의 여부를 비롯한 위에서 언급된 특성들을 수정하는 것의 다양한 영향들을 예시한다.
트랜지스터 특성 수정 수정의 영향
GDS RDS 메모리 전류 누설 메모리의 내부 레이턴시
채널 길이 (L)
더 짧은 길이 + - + -
더 긴 길이 - + - +
채널 폭 (W)
더 짧은 폭 - + - +
더 긴 폭 + - + -
임계치 전압 (Vt)
더 높은 임계치 전압 - + - +
더 낮은 임계치 전압 + - + -
HVt, NVt, LVt
HVt - + - +
NVt 공칭 공칭 공칭 공칭
LVt + - + -
바이어스
VB < VS 설정
(Vt를 증가시킴)
- + - +
VB = VS 공칭 공칭 공칭 공칭
VB > VS 설정
(Vt를 감소시킴)
+ - + -
메모리 셀 트랜지스터 특성들을 수정하는 것의 예시적 영향들
[0049] 예시적 실시예에서, 3DIC를 구현하는 방법이 도 5에서 프로세스(140)에 의해 예시된다. 프로세스는, 복수의 티어들(112)을 제공함으로써 시작된다(블록 142). 프로세스는, 티어들(112)에 걸쳐 다수의 기능 엘리먼트들을 제공함으로써 계속된다(블록 144). 프로세스는, MIV(130)를 사용하여 티어들을 인터커플링시킴으로써 계속된다(블록 146). 이에 따라, MIV(130)를 이용한 다수의 티어들(112) 및 다수의 기능들의 인터커플링이 SOC(110)를 형성한다(블록 148).
[0050] 또한, 본원의 예시적 실시예들 중 임의의 실시예에서 설명된 작동 단계들이 예들 및 논의를 제공하도록 설명됨이 주목된다. 설명된 동작들은 예시된 시퀀스들 이외에 많은 상이한 시퀀스들로 수행될 수 있다. 또한, 단일 작동 단계에서 설명된 동작들이 실제로는 다수의 상이한 단계들에서 수행될 수 있다. 부가하여, 예시적 실시예들에서 논의된 하나 또는 그 초과의 작동 단계들이 결합될 수 있다. 당업자에게 쉽게 명백할 바와 같이, 흐름도 다이어그램들에서 설명된 작동 단계들이 많은 상이한 수정들의 대상일 수 있음이 이해되어야 한다. 또한, 당업자들은, 다양한 상이한 기술들 및 기법들 중 임의의 것을 사용하여 정보 및 신호들이 표현될 수 있음을 이해할 것이다. 예컨대, 위의 설명 전체에 걸쳐 참조될 수 있는 데이터, 명령들, 커맨드들, 정보, 신호들, 비트들, 심볼들, 및 칩들은 전압들, 전류들, 전자기파들, 자기 필드들 또는 입자들, 광학 필드들 또는 입자들, 또는 이들의 임의의 결합에 의해 표현될 수 있다.
[0051] 본 개시물의 앞선 설명은 당업자가 본 개시물을 만들거나 또는 사용하는 것을 가능하게 하도록 제공된다. 본 개시물에 대한 다양한 수정들이 당업자들에게 쉽게 명백할 것이며, 본원에 정의된 일반적인 원리들은 본 개시물의 사상 또는 범위로부터 벗어남 없이 다른 변형들에 적용될 수 있다. 이에 따라, 본 개시물은 본원에 설명된 예들 및 설계들로 제한되는 것으로 의도되는 것이 아니라, 본원에 개시된 원리들 및 신규한 피처들과 일치하는 최광의의 범위에 부합되어야 한다.

Claims (20)

  1. 모놀리식 삼차원(3D: three dimensional) 집적 회로(IC: integrated circuit)(3DIC) 시스템으로서,
    하나가 다른 하나 위에 있는 식으로 포지셔닝된 복수의 티어(tier)들;
    계산, 디지털 프로세싱, 아날로그 프로세싱, 라디오 주파수(RF: radio frequency) 신호 프로세싱, 아날로그/믹싱된 신호 프로세싱, 전력 관리, 센서, 전원, 배터리, 메모리, 디지털 논리, 저 누설, 저 잡음/고 이득, 클록, 조합 논리(combinatorial logic), 및 순차 논리로 구성된 그룹으로부터 선택된 복수의 기능 엘리먼트들 ―상기 복수의 기능 엘리먼트들은 상기 복수의 티어들 사이에 분산됨―; 및
    상기 복수의 티어들을 전기적으로 커플링시키는 복수의 모놀리식 인터티어 비아(MIV: monolithic intertier via)들
    을 포함하고,
    상기 복수의 기능 엘리먼트들은 완전한 자립형 시스템 온 어 칩(SOC: system on a chip)을 제공하는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  2. 제 1 항에 있어서,
    상기 복수의 티어들 각각은 동일한 수평 치수들을 갖는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  3. 제 1 항에 있어서,
    상기 복수의 티어들 중 적어도 하나의 티어가 고속 동작에 대해 최적화되는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  4. 제 1 항에 있어서,
    상기 복수의 티어들 중 적어도 하나의 티어가 저 전류 누설에 대해 최적화되는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  5. 제 1 항에 있어서,
    상기 복수의 기능 엘리먼트들 중 상이한 기능 엘리먼트들이 상기 복수의 티어들 중 상이한 티어들 상에 포지셔닝되는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  6. 제 1 항에 있어서,
    상기 복수의 티어들 중 제1 티어가 상기 그룹으로부터의 제1 기능을 최적화시키도록 구성된 제1 기술 타입을 포함하는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  7. 제 6 항에 있어서,
    상기 복수의 티어들 중 제2 티어가 상기 그룹으로부터의 제2 기능을 최적화시키도록 구성된 제2 기술 타입을 포함하는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  8. 제 1 항에 있어서,
    상기 복수의 티어들은 제3 티어를 더 포함하는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  9. 제 1 항에 있어서,
    상기 시스템은 RF 트랜시버로서 동작하는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  10. 제 1 항에 있어서,
    셋톱 박스, 엔터테인먼트 유닛, 내비게이션 디바이스, 통신 디바이스, 고정된 위치 데이터 유닛, 모바일 위치 데이터 유닛, 모바일 전화, 셀룰러 전화, 컴퓨터, 휴대용 컴퓨터, 데스크톱 컴퓨터, 퍼스널 디지털 어시스턴트(PDA: personal digital assistant), 모니터, 컴퓨터 모니터, 텔레비전, 튜너, 라디오, 위성 라디오, 뮤직 플레이어, 디지털 뮤직 플레이어, 휴대용 뮤직 플레이어, 디지털 비디오 플레이어, 비디오 플레이어, 디지털 비디오 디스크(DVD: digital video disc) 플레이어, 및 휴대용 디지털 비디오 플레이어로 구성된 그룹으로부터 선택된 디바이스
    를 더 포함하며,
    상기 디바이스에 IC가 통합되는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  11. 모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템으로서,
    하나가 다른 하나 위에 있는 식으로 포지셔닝된 복수의 티어들;
    계산, 디지털 프로세싱, 아날로그 프로세싱, 라디오 주파수(RF) 신호 프로세싱, 아날로그/믹싱된 신호 프로세싱, 전력 관리, 센서, 전원, 배터리, 메모리, 디지털 논리, 저 누설, 저 잡음/고 이득, 클록, 조합 논리, 및 순차 논리로 구성된 그룹으로부터 선택된 복수의 기능들을 제공하기 위한 수단 ―상기 복수의 기능들을 제공하기 위한 수단은 상기 복수의 티어들 사이에 분산됨―; 및
    상기 복수의 티어들을 전기적으로 인터커플링시키기 위한 수단
    을 포함하고,
    상기 복수의 기능들을 제공하기 위한 수단은 완전한 자립형 시스템 온 어 칩(SOC)을 제공하는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  12. 제 11 항에 있어서,
    상기 복수의 티어들을 전기적으로 인터커플링시키기 위한 수단은 모놀리식 인터티어 비아(MIV: monolithic intertier via)들을 포함하는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  13. 제 11 항에 있어서,
    상기 시스템은 RF 트랜시버로서 동작하는,
    모놀리식 삼차원(3D) 집적 회로(IC)(3DIC) 시스템.
  14. 삼차원(3D) 집적 회로(IC)(3DIC) 시스템을 구현하는 방법으로서,
    상기 3DIC 내에 복수의 티어들을 제공하는 단계;
    상기 복수의 티어들에 걸쳐 다수의 기능 엘리먼트들을 제공하는 단계;
    모놀리식 인터티어 비아(MIV)들을 사용하여 상기 복수의 티어들을 인터커플링시키는 단계; 및
    완전한 자립형 시스템 온 어 칩(SOC)에 상기 3DIC를 제공하는 단계
    를 포함하는,
    삼차원(3D) 집적 회로(IC)(3DIC) 시스템을 구현하는 방법.
  15. 제 14 항에 있어서,
    상기 복수의 티어들을 제공하는 단계는 동일한 수평 치수들을 갖는 복수의 티어들을 포함하는,
    삼차원(3D) 집적 회로(IC)(3DIC) 시스템을 구현하는 방법.
  16. 제 14 항에 있어서,
    상기 복수의 티어들을 제공하는 단계는 고속 동작에 대해 최적화된 적어도 하나의 티어를 제공하는 단계를 포함하는,
    삼차원(3D) 집적 회로(IC)(3DIC) 시스템을 구현하는 방법.
  17. 제 14 항에 있어서,
    상기 복수의 티어들을 제공하는 단계는 저 전류 누설에 대해 최적화된 적어도 하나의 티어를 제공하는 단계를 포함하는,
    삼차원(3D) 집적 회로(IC)(3DIC) 시스템을 구현하는 방법.
  18. 제 14 항에 있어서,
    상기 복수의 기능 엘리먼트들 중 상이한 기능 엘리먼트들이 상기 복수의 티어들 중 상이한 티어들 상에 포지셔닝되는,
    삼차원(3D) 집적 회로(IC)(3DIC) 시스템을 구현하는 방법.
  19. 제 14 항에 있어서,
    상기 복수의 티어들 중 제1 티어가 그룹으로부터의 제1 기능을 최적화시키도록 구성된 제1 기술 타입을 포함하는,
    삼차원(3D) 집적 회로(IC)(3DIC) 시스템을 구현하는 방법.
  20. 제 19 항에 있어서,
    상기 복수의 티어들 중 제2 티어가 그룹으로부터의 제2 기능을 최적화시키도록 구성된 제2 기술 타입을 포함하는,
    삼차원(3D) 집적 회로(IC)(3DIC) 시스템을 구현하는 방법.
KR1020167003723A 2013-07-16 2014-07-14 모놀리식 삼차원(3d) 집적 회로(ic)(3dic) 기술을 사용한 완전한 시스템-온-칩(soc) KR101832330B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361846648P 2013-07-16 2013-07-16
US61/846,648 2013-07-16
US14/013,399 2013-08-29
US14/013,399 US9418985B2 (en) 2013-07-16 2013-08-29 Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
PCT/US2014/046503 WO2015009614A1 (en) 2013-07-16 2014-07-14 Complete system-on-chip (soc) using monolithic three dimensional (3d) integrated circuit (ic) (3dic) technology

Publications (2)

Publication Number Publication Date
KR20160032182A true KR20160032182A (ko) 2016-03-23
KR101832330B1 KR101832330B1 (ko) 2018-02-26

Family

ID=52343114

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167003723A KR101832330B1 (ko) 2013-07-16 2014-07-14 모놀리식 삼차원(3d) 집적 회로(ic)(3dic) 기술을 사용한 완전한 시스템-온-칩(soc)

Country Status (9)

Country Link
US (2) US9418985B2 (ko)
EP (1) EP3022766A1 (ko)
JP (1) JP2016529702A (ko)
KR (1) KR101832330B1 (ko)
CN (1) CN105378918B (ko)
BR (1) BR112016000868B1 (ko)
CA (1) CA2917586C (ko)
TW (1) TWI618222B (ko)
WO (1) WO2015009614A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220114316A (ko) * 2021-02-08 2022-08-17 고려대학교 산학협력단 모놀리식 3d 집적 기술 기반 스크래치패드 메모리

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9418985B2 (en) 2013-07-16 2016-08-16 Qualcomm Incorporated Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
ES2798115T3 (es) * 2014-06-20 2020-12-09 Nagravision Sa Módulo de interfaz física
US9256246B1 (en) * 2015-01-29 2016-02-09 Qualcomm Incorporated Clock skew compensation with adaptive body biasing in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9628077B2 (en) 2015-03-04 2017-04-18 Qualcomm Incorporated Dual power swing pipeline design with separation of combinational and sequential logics
CN105391823B (zh) * 2015-11-25 2019-02-12 上海新储集成电路有限公司 一种降低移动设备尺寸和功耗的方法
CN105742277B (zh) * 2016-04-13 2018-06-22 中国航天科技集团公司第九研究院第七七一研究所 一种大容量立体集成sram存储器三维扩展方法
US9523760B1 (en) * 2016-04-15 2016-12-20 Cognitive Systems Corp. Detecting motion based on repeated wireless transmissions
US9754923B1 (en) 2016-05-09 2017-09-05 Qualcomm Incorporated Power gate placement techniques in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9929149B2 (en) 2016-06-21 2018-03-27 Arm Limited Using inter-tier vias in integrated circuits
US9871020B1 (en) * 2016-07-14 2018-01-16 Globalfoundries Inc. Through silicon via sharing in a 3D integrated circuit
US10678985B2 (en) * 2016-08-31 2020-06-09 Arm Limited Method for generating three-dimensional integrated circuit design
US9712168B1 (en) * 2016-09-14 2017-07-18 Qualcomm Incorporated Process variation power control in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US10176147B2 (en) 2017-03-07 2019-01-08 Qualcomm Incorporated Multi-processor core three-dimensional (3D) integrated circuits (ICs) (3DICs), and related methods
US10719100B2 (en) 2017-11-21 2020-07-21 Western Digital Technologies, Inc. System and method for time stamp synchronization
US10727965B2 (en) * 2017-11-21 2020-07-28 Western Digital Technologies, Inc. System and method for time stamp synchronization
CN110069795A (zh) * 2018-01-23 2019-07-30 长芯半导体有限公司 快速定制芯片方法
GB2586050B (en) * 2019-07-31 2021-11-10 Murata Manufacturing Co Power supply output device
GB2586049B (en) * 2019-07-31 2022-03-09 Murata Manufacturing Co Power supply output device
US11270917B2 (en) * 2020-06-01 2022-03-08 Alibaba Group Holding Limited Scalable and flexible architectures for integrated circuit (IC) design and fabrication
CN112769402B (zh) * 2020-12-21 2024-05-17 中国航天科工集团八五一一研究所 基于TSV技术的X/Ku波段宽带变频组件
EP4024222A1 (en) 2021-01-04 2022-07-06 Imec VZW An integrated circuit with 3d partitioning

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61131474A (ja) * 1984-11-30 1986-06-19 Agency Of Ind Science & Technol 積層型半導体装置
US6046078A (en) * 1997-04-28 2000-04-04 Megamos Corp. Semiconductor device fabrication with reduced masking steps
US20030015768A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and method for microelectromechanical system (MEMS) devices integrated with other semiconductor structures
WO2003030252A2 (en) 2001-09-28 2003-04-10 Hrl Laboratories, Llc Process for producing interconnects
US7126214B2 (en) 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
JP2004165269A (ja) * 2002-11-11 2004-06-10 Canon Inc 積層形半導体装置
KR100569590B1 (ko) 2003-12-30 2006-04-10 매그나칩 반도체 유한회사 고주파 반도체 장치 및 그 제조방법
DE102006030267B4 (de) * 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano-Einprägetechnik mit erhöhter Flexibilität in Bezug auf die Justierung und die Formung von Strukturelementen
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US7692448B2 (en) 2007-09-12 2010-04-06 Neal Solomon Reprogrammable three dimensional field programmable gate arrays
ATE512114T1 (de) * 2008-09-03 2011-06-15 St Microelectronics Tours Sas Dreidimensionale struktur mit sehr hoher dichte
US8115511B2 (en) * 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US7986042B2 (en) * 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20110199116A1 (en) 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
KR20120027339A (ko) * 2009-05-14 2012-03-21 에스알아이 인터내셔널 유기 광전자 소자를 위한 저비용 고효율의 투명한 유기 전극
TWI501380B (zh) * 2010-01-29 2015-09-21 Nat Chip Implementation Ct Nat Applied Res Lab 多基板晶片模組堆疊之三維系統晶片結構
US8450779B2 (en) 2010-03-08 2013-05-28 International Business Machines Corporation Graphene based three-dimensional integrated circuit device
JP2012019018A (ja) * 2010-07-07 2012-01-26 Toshiba Corp 半導体装置及びその製造方法
CN102024782B (zh) * 2010-10-12 2012-07-25 北京大学 三维垂直互联结构及其制作方法
EP2469597A3 (en) 2010-12-23 2016-06-29 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Multi-level integrated circuit, device and method for modeling multi-level integrated circuits
TWI496271B (zh) * 2010-12-30 2015-08-11 Ind Tech Res Inst 晶圓級模封接合結構及其製造方法
DE102011004581A1 (de) * 2011-02-23 2012-08-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Technik zur Reduzierung der plasmahervorgerufenen Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika durch modifizierten HF-Leistungshochlauf
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP6019599B2 (ja) * 2011-03-31 2016-11-02 ソニー株式会社 半導体装置、および、その製造方法
WO2013052679A1 (en) 2011-10-04 2013-04-11 Qualcomm Incorporated Monolithic 3-d integration using graphene
US9496255B2 (en) * 2011-11-16 2016-11-15 Qualcomm Incorporated Stacked CMOS chipset having an insulating layer and a secondary layer and method of forming same
JP5981711B2 (ja) * 2011-12-16 2016-08-31 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
JP2013215917A (ja) 2012-04-05 2013-10-24 Seiko Epson Corp 印刷装置、及び、印刷方法
CN103545275B (zh) * 2012-07-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 硅通孔封装结构及形成方法
US8889491B2 (en) * 2013-01-28 2014-11-18 International Business Machines Corporation Method of forming electronic fuse line with modified cap
US9171608B2 (en) * 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
KR20140113024A (ko) * 2013-03-15 2014-09-24 에스케이하이닉스 주식회사 저항 변화 메모리 장치 및 그 구동방법
US9418985B2 (en) 2013-07-16 2016-08-16 Qualcomm Incorporated Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
US9070711B2 (en) * 2013-08-02 2015-06-30 Globalfoundries Inc. Methods of forming cap layers for semiconductor devices with self-aligned contact elements and the resulting devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220114316A (ko) * 2021-02-08 2022-08-17 고려대학교 산학협력단 모놀리식 3d 집적 기술 기반 스크래치패드 메모리

Also Published As

Publication number Publication date
BR112016000868B1 (pt) 2022-08-16
JP2016529702A (ja) 2016-09-23
WO2015009614A1 (en) 2015-01-22
US9583473B2 (en) 2017-02-28
CA2917586A1 (en) 2015-01-22
US20150022262A1 (en) 2015-01-22
KR101832330B1 (ko) 2018-02-26
EP3022766A1 (en) 2016-05-25
US20160351553A1 (en) 2016-12-01
BR112016000868A2 (ko) 2017-07-25
US9418985B2 (en) 2016-08-16
CN105378918A (zh) 2016-03-02
CA2917586C (en) 2019-02-12
TWI618222B (zh) 2018-03-11
CN105378918B (zh) 2018-05-04
TW201513299A (zh) 2015-04-01

Similar Documents

Publication Publication Date Title
KR101832330B1 (ko) 모놀리식 삼차원(3d) 집적 회로(ic)(3dic) 기술을 사용한 완전한 시스템-온-칩(soc)
CN110088891B (zh) 利用双面处理的逻辑电路块布局
TWI710077B (zh) 以高頻通訊裝置整合在封裝組織上的有效率分區所設計之微電子裝置
US8901688B2 (en) High performance glass-based 60 ghz / mm-wave phased array antennas and methods of making same
CN101411077A (zh) 单封装无线通信装置
US20190304936A1 (en) Microelectronic assemblies having front end under embedded radio frequency die
TW201943042A (zh) 於封裝基材中之積體電路結構
US20080023824A1 (en) Double-sided die
US11296083B2 (en) Three-dimensional (3D), vertically-integrated field-effect transistors (FETs) electrically coupled by integrated vertical FET-to-FET interconnects for complementary metal-oxide semiconductor (CMOS) cell circuits
US20210398957A1 (en) Three-dimensional (3d) integrated circuit with passive elements formed by hybrid bonding
US11552055B2 (en) Integrated circuit (IC) packages employing front side back-end-of-line (FS-BEOL) to back side back-end-of-line (BS-BEOL) stacking for three-dimensional (3D) die stacking, and related fabrication methods
TW202133566A (zh) 阻抗匹配收發器
US11469190B2 (en) Parasitic-aware integrated substrate balanced filter and apparatus to achieve transmission zeros
US11024574B2 (en) Integrated substrate communication frontend
US11973019B2 (en) Deep trench capacitors in an inter-layer medium on an interconnect layer of an integrated circuit die and related methods
US10290579B2 (en) Utilization of backside silicidation to form dual side contacted capacitor
US11398806B2 (en) Hybrid pinning package for radio frequency filters
US20230380067A1 (en) Compressed pinouts for high-speed differential pairs
Loraine et al. 5.9-7.1 GHz High-Linearity LNA Using Innovative 3D Device Level Co-Integration of GaN HEMT and RF-SOI
TW202410471A (zh) 堆疊互補場效應電晶體(cfet)及其製造方法
CN118056277A (zh) 采用耦合到管芯侧嵌入式迹线基板(ets)层中的嵌入式金属迹线的补充金属层的集成电路(ic)封装以及相关的制造方法
TW202303975A (zh) 射頻前端(rffe)異質整合
WO2024015154A1 (en) Stacked complementary field effect transistor (cfet) and method of manufacture

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant