CN105378918B - 使用单片三维(3d)集成电路(ic)(3dic)技术完成片上系统(soc) - Google Patents

使用单片三维(3d)集成电路(ic)(3dic)技术完成片上系统(soc) Download PDF

Info

Publication number
CN105378918B
CN105378918B CN201480039458.6A CN201480039458A CN105378918B CN 105378918 B CN105378918 B CN 105378918B CN 201480039458 A CN201480039458 A CN 201480039458A CN 105378918 B CN105378918 B CN 105378918B
Authority
CN
China
Prior art keywords
level
3dic
monolithic
systems according
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480039458.6A
Other languages
English (en)
Other versions
CN105378918A (zh
Inventor
Y·杜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN105378918A publication Critical patent/CN105378918A/zh
Application granted granted Critical
Publication of CN105378918B publication Critical patent/CN105378918B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

具体实施方式中公开的实施例包括使用单片三维(3D)集成电路(IC)(3DIC)集成技术来完成片上系统的解决方案。本公开内容包括对单片3DIC内的层和所伴随的可能在层级之间穿过单片层级间过孔(MIV)的短的互连件进行定制来创建“芯片上的系统”或“片上系统”(都被称为(SOC))的能力的示例。具体来说,3DIC的不同层级被构建为支持不同的功能性并遵守不同的设计标准。因此,3DIC可具有模拟层、具有较高电压阈值的层、具有较低漏电流的层、电源层、用于实现需要不同基础材料的部件的不同材料的层、等等。不像叠置的管芯,上层可以与下层是相同的尺寸,因为不需要外部的引线连接。

Description

使用单片三维(3D)集成电路(IC)(3DIC)技术完成片上系统 (SOC)
优先权申请
本申请请求于2013年7月16日提交的、并且名称为“COMPLETE SYSTEM-ON-CHIP(SOC)USING MONOLITHIC THREE DIMENSIONAL(3D)INTERGRATED CIRCUIT(IC)(3DIC)TECHNOLOGY”、序列号61/846,648的美国临时专利申请的优先权,通过引用将其全部内容并入本文。
本申请还请求于2013年8月29日提交的、并且名称为“COMPLETE SYSTEM-ON-CHIP(SOC)USING MONOLITHIC THREE DIMENSIONAL(3D)INTERGRATED CIRCUIT(IC)(3DIC)TECHNOLOGY”、序列号14/013,399的美国专利申请的优先权,通过引用将其全部内容并入本文。
技术领域
本公开内容的技术总体上涉及片上系统(SOC)集成电路(IC)。
背景技术
移动通信设备在当前社会中已经变得常见。这些移动设备的流行部分地受到现在在这些设备上实现的许多功能的推动。对这些功能的需求增加了处理能力要求并产生了对较强劲的电池的需要。在移动通信设备的壳体的有限空间内,电池与处理电路竞争。有限的空间对部件的持续小型化和电路内的功率消耗造成了压力。尽管小型化已经在移动通信设备的集成电路(IC)中具有特别的关注,但在其它设备中的IC的小型化上的努力也已经推进。
已经对使数字部件小型化并将越来越多的数字功能挤压进单个集成电路(IC)中作出了越来越多的努力。然而,迄今为止,已经证明了在单个IC内包括模拟和数字部件两者是困难的,举例来说,例如当设计用于蜂窝电话或其它移动通信设备的射频(RF)收发机和信号处理元件时。类似地,即使在数字/模拟核心的相同侧内,将具有不同的物理要求的部件并入到单个IC内有时候也是困难的。例如,具有高速度要求的元件可能难以集成到与要求低漏电流的元件相同的芯片中。将这些元件合并到单个芯片中的这种困难已经使得片上系统(SOC)的解决方案不现实。在大多数器件中,创建了模拟芯片,并且其电耦合到数字芯片。耦合需要导电体并导致了严重的面积损失,因为器件内的空间被奉献给这些导电体。使用用于导电体的这样的空间与总体的小型化目标相冲突。
这些相互矛盾的设计标准的一个折中解决方案为管芯叠置设计或其它系统级封装(SIP)布置。在这些管芯叠置的布置中,数字管芯叠置在模拟管芯上方,或者反之亦然。然而,使管芯相互耦合仍然花费了空间。类似地,上部管芯通常小于底部管芯,形成类似于金字塔的形状。尽管存在具有多达三个管芯彼此叠置的商业实施方式,但这些实施方式并不具有大量的空间节省。因此,仍然存在真正集成的SOC的需要。
发明内容
具体实施方式中公开的实施例包括使用单片三维(3D)集成电路(IC)(3DIC)集成技术来完成片上系统的解决方案。本公开内容包括对单片3DIC内的层和所伴随的可能在层级之间穿过单片层级间过孔(MIV)的短的互连件进行定制来创建“芯片上的系统”或“片上系统”(都被称为(SOC))的能力的示例。具体来说,3DIC的不同层级被构建为支持不同的功能性并遵守不同的设计标准。因此,3DIC可具有一个或多个模拟层、数字层、具有较高电压阈值的层、具有较低漏电流的层、电源层、用于实现需要不同基础材料的部件的不同材料的层、等等。不像系统级封装(SIP)布置中的叠置的管芯,上层可以与下层尺寸相同,因为不需要外部的引线连接。通过在单个3DIC内具有大量的层,整个系统可以被提供于单个IC中并因此提供了SOC。
就这点而言,在一个实施例中,提供了一种单片3DIC系统。所述单片3DIC系统包括多个层级,所述多个层级被设置为一个层级位于另一个层级的顶部。所述系统还包括多个功能元件,所述多个功能元件选自由以下各项组成的组:计算、数字处理、模拟处理、射频(RF)信号处理、模拟/混合信号处理、功率管理、传感器、电源、电池、存储器、数字逻辑单元、低漏电、低噪声/高增益、时钟、混合逻辑单元、以及时序逻辑单元。所述系统还包括分布于所述多个层级之中的所述多个功能元件。所述系统还包括多个MIV,所述多个MIV使所述多个层级电耦合。所述系统还包括提供完整的自容式SOC的所述多个功能元件。
在另一个实施例中,公开了一种单片3DIC系统。所述单片3DIC系统包括多个层级,所述多个层级被设置为一个层级位于另一个层级的顶部。所述系统还包括用于提供多个功能的单元,所述多个功能选自由以下各项组成的组:计算、数字处理、模拟处理、RF信号处理、模拟/混合信号处理、功率管理、传感器、电源、电池、存储器、数字逻辑单元、低漏电、低噪声/高增益、时钟、混合逻辑单元、以及时序逻辑单元。所述系统还包括分布于所述多个层级之中的用于提供所述多个功能的单元。所述系统还包括用于使所述多个层级电气地互相耦合的单元。所述系统还包括提供完整的自容式SOC的用于提供多个功能的单元。
在另一个实施例中,公开了一种实现3DIC系统的方法。所述方法包括在3DIC内提供多个层级。所述方法还包括提供跨所述多个层级的多个功能元件。所述方法还包括使用MIV来使所述多个层级互相耦合。所述方法还包括使用3DIC来提供完整的自容式SOC。
附图说明
图1是其中使用了集成电路(IC)的传统的移动终端的框图;
图2是其中使用了IC的传统的计算设备的框图;
图3A-图3C是创建系统级封装(SIP)的示例性的传统的管芯叠置尝试的透视图;
图4是根据本公开内容的示例性实施例的三维(3D)集成电路(IC)(3DIC)片上系统(SOC)的侧视图;
图5是例示了用于设计3DIC SOC的示例性过程的流程图;
图6是其中具有3DIC SOC的移动终端的框图;
图7是其中具有3DIC SOC的计算设备的框图。
具体实施方式
现在参照附图,描述了本公开内容的若干示例性实施例。本文中使用了词语“示例性的”来表示“作为示例、实例、或者例示”。本文中被描述为“示例性”的任何实施例并非必须被解释为优于其它实施例或比其它实施例有利。
具体实施方式中所公开的实施例包括使用单片三维(3D)集成电路(IC)(3DIC)集成技术来完成片上系统的解决方案。本公开内容包括对单片3DIC内的层和所伴随的可能在层级之间穿过单片层级间过孔(MIV)的短的互连件进行定制来创建“芯片上的系统”或“片上系统”(都被称为(SOC))的能力的示例。具体来说,3DIC的不同层级被构建为支持不同的功能性并遵守不同的设计标准。因此,3DIC可具有一个或多个模拟层、数字层、具有较高电压阈值的层、具有较低漏电流的层、电源层、用于实现需要不同基础材料的部件的不同材料的层、等等。不像系统级封装(SIP)布置中的叠置的管芯,上层可以与下层是相同尺寸的,因为不需要外部的引线连接。通过在单个3DIC内具有大量的层,整个系统可以被提供于单个IC中并因此提供了SOC。
在讨论本公开内容的细节之前,提供了对可能受益于本文中提出的SOC改进的传统设备的简单描述。就这点而言,图1和图2例示了使用多个IC来实现期望的功能性的传统设备。图3A-3C例示了当前在传统设备中的某些传统设备中使用的SIP解决方案。在下面参照图4开始对本公开内容的实施例进行讨论。
存在当前使用多个IC来实现功能性的许多设备。本文中仅例示了一些设备,但应当理解,本公开内容适用于本文中例示出的那些设备以外的设备。就这点而言,图1例示了传统的射频(RF)移动终端10。移动终端是常见的,例如蜂窝电话、智能手机、寻呼机、等等。移动终端10可以包括接收机12、发射机14、天线16、交换机18、基带处理器20、控制系统22、频率合成器24、以及用户接口26。
接收机12从由基站(未示出)提供的一个或多个远程发射机接收承载RF信号的信息。低噪声放大器(LNA)28放大信号。滤波器30使所接收到的信号中的宽带干扰最小化,同时下变频和数字化电路32将经滤波的、接收到的信号向下变频为中频信号或基带频率信号,随后数字化成一个或多个数字流。接收机前端22通常使用由频率合成器24生成的一个或多个混合频率。基带处理器20对经数字化的所接收到的信号进行处理,以提取信号中传送的信息或数据位。因此,基带处理器20通常被实现为一个或多个数字信号处理器(DSP)。
继续参照图1,在发送侧,基带处理器20从控制系统22接收经数字化的数据(其可以表示语音、数据、或控制信息),对该数据进行编码用于传输。将经编码的数据输出到RF发射机14,其中,由调制器34使用该数据来以期望的发射频率调制载波信号。RF功率放大器36将经调制的载波信号放大到适于传输的水平,并通过交换机18来将经放大的并且经调制的载波信号传送到天线16。
继续参照图1,用户可以经由用户接口26来与移动终端10进行交互,用户接口26可以包括与麦克风、扬声器、键盘、以及显示器相关联的电路38。接口电路38通常包括模拟到数字转换器、数字到模拟转换器、放大器、等等。此外,其可以包括语音编码器/解码器,在这种情况下,其可以直接与基带处理器20进行通信。在接收到的信号中所编码的音频信息由基带处理器20来恢复,并且由接口电路38转换成适于驱动扬声器的模拟信号。键盘和显示器使得用户能够与移动终端10进行交互。例如,键盘和显示器可以使得用户能够输入即将拨打的号码、访问地址簿信息、等等、以及监控呼叫进展信息。
在实际中,移动终端10可具有用于移动终端10的不同功能的一个或多个IC。例如,交换机18可以是一个IC,接收机12是另一个IC,基带处理器20是第三IC,发射机14是第四IC,并且接口电路38是第五IC。各个IC可以被设计为模拟的、数字的、或者另外具有设置在各自IC上的看似不兼容的技术类型。如果如常见的,这些各个IC被布置在二维布局中,则使各个IC互连所需要的引线的数量需要大量空间,这与产业的小型化目标相矛盾。
转到图2,其例示了基于处理器的系统60的示例。基于处理器的系统60包括一个或多个中央处理单元(CPU)62,每个CPU都包括一个或多个处理器64。一个或多个CPU 62可具有耦合到一个或多个处理器64的缓存66,以便对暂时储存的数据进行快速访问。一个或多个CPU 62耦合到系统总线67并可以与包括在基于处理器的系统60中的设备相互耦合。如公知的,一个或多个CPU 62通过在系统总线67上交换地址信息、控制信息、以及数据信息来与这些其他设备进行通信。
继续参照图2,一个或多个CPU 62可以与这些其它设备进行通信,作为示例,其它设备包括存储系统68、一个或多个输入设备70、一个或多个输出设备72、一个或多个网络接口设备74、以及一个或多个显示控制器78。一个或多个输入设备70可以包括任何类型的输入设备,包括但不限于输入键、开关、语音处理器、等等。一个或多个输出设备72可以包括任何类型的输出设备,包括但不限于音频、视频、其它视觉指示器、等等。一个或多个网络接口设备74可以是被配置为允许数据至网络76的交换或者数据从网络76的交换的任何设备。网络76可以是任何类型的网络,包括但不限于有线网络或无线网络、专用网络或公用网络、局域网(LAN)、无线局域网(WLAN)、以及互联网。一个或多个网络接口设备74可以被配置为支持所期望的任何类型的通信协议。
一个或多个CPU 62还可以被配置为在系统总线67上访问一个或多个显示控制器78,以控制发送到一个或多个显示器80的控制信息。一个或多个显示控制器78经由一个或多个视频处理器82来向一个或多个显示器80发送待显示的信息,一个或多个视频处理器将待显示的信息处理成适合于一个或多个显示器80的格式。一个或多个显示器80可以包括任何类型的显示器,包括但不限于阴极射线管(CRT)、液晶显示器(LCD)、等离子体显示器、等等。
再次,应当意识到,图2中的功能块中的许多功能块可以以单独的IC来体现。这些IC的每个IC都具有至设备的印刷电路板(PCB)的其自己的连接以及引线连接,以允许与设备中的其它IC进行通信。如上面所指出的,出现许多引线连接消耗了设备内的空间,并以其他方式是不期望的。
如上面所指出的,尽管图1和图2中仅例示了两个设备,但应当意识到,存在许多可以得益于本公开内容的实施例的基于处理器的设备,包括(而不是限制)机顶盒、娱乐单元、导航设备、通信设备、固定位置数据单元、移动位置数据单元、移动电话、蜂窝电话、计算机、便携式计算机、台式计算机、个人数字助理(PDA)、监视器、计算机监视器、电视机、调谐器、收音机、卫星收音机、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频光盘(DVD)播放器、以及便携式数字视频播放器。
使得由这些设备中的多个IC消耗的空间最小化的传统的努力迄今为止关注于SIP布置。也就是保留IC的数量,但叠置成封装体。参照图3A-图3C提供了三个这样的叠置的布置。由于在单个IC内集成不同的技术所经历的困难,因此保留了个体的和单独的IC。例如,模拟部件与数字部件之间的制造工艺差异很大,因此,在单个IC中包括这两个部件是困难的。类似地,支持高速电路的工艺技术与用于提供低漏电的那些技术不同,因此,在单个IC中包括这两种类型的部件是困难的。总之,存在用于在IC内实现不同功能的许多冲突的技术要求。
就这点而言,图3A例示了管芯叠置的系统90A。管芯叠置的系统90A具有从第一IC94A形成的第一层92A以及从第二IC 98A形成的第二层96A。这种布置有时候被称为无线接合,因为在第一IC 94A与第二IC 98A之间不存在直接的有线连接。第一IC 94A使用外部引线100A与第二IC 98A互相耦合。为了容纳外部引线100A,第二层96A小于第一层92A。类似地,第一IC 94A通过外部引线102A连接到设备内的其它元件(未示出)。对外部引线100A和102A的需求扩大了实现管芯叠置的系统90A所需要的面积。类似地,外部引线100A的存在和第二层96A的对应的较小的面积意味着较少的选择适用于第二IC 98A内的部件。或者,如果第二IC 98A内的元件具有某个尺寸,则第一层92A必须对应地更大,即使第一IC 94A内的元件并不需要所有的该面积。总之,管芯叠置的系统90A并不是用于提供整个系统的最佳解决方案,并被具体定义为不是如本文中所使用的SOC解决方案。
参照图3B,管芯叠置的系统90B与管芯跌至的系统90A类似,但代替外部引线100A,使用焊料凸块100B来使第一IC 94B与第二IC 98B互连。这种布置有时候被称为倒装芯片布置。实现了面对面的接合,但是仅对于两个层。如果使用了多于两个的层,则需要外部引线(例如在图3A中所使用的引线)。然而,即使只有两个层,仍然出现了外部引线102B,以将管芯叠置的系统90B与设备内的其它元件互连。将外部引线102B设置在第一IC 94B的上表面上促使第二IC 98B小于第一IC 94B,并具有刚才所讨论的相同优点。再次,这样的管芯叠置的布置被具体定义为不是如本文中所使用的SOC。
参照图3C,管芯叠置的系统90C同样地与管芯叠置的系统90A、90B类似,但代替外部引线100A,焊料凸块100C使第一IC 94C与第二IC 98C互相耦合。类似地,过孔104C(其可以是穿硅过孔(TSV))延伸通过第一IC 94C。ISV通常是相当大的(例如,~微米),并相应地施加了大的面积损失,因为第一IC 94C内的引线必须被布线为围绕TSV。这种布线和对用于有源部件的空间的要求再次促使第一IC 94A大于第二IC 98C。再次,这样的管芯叠置的布置被具体定义为不是如本文中所使用的SOC。
在管芯叠置系统90A-90C中每个管芯叠置系统的情况下,可能存在与其它电磁干扰(EMI)的不期望的串扰,导致精密的模拟和/或RF信号处理单元可能的失败。类似地,相对大的芯片尺寸导致了高的产量损失并造成了其它封装挑战。
与尺寸损失和SIP的其它缺点相反,本公开内容提供了使用单片3DIC技术的真正的单芯片SOC。因此,SOC可以由具有跨3DIC内的多个层级的各种功能的单个3DIC来制成。某些功能可以共同位于单个层级内,而某些功能可以跨多个层级散布。因此,本公开内容的SOC允许在不同技术或种类的不同层级中不均匀地划分系统功能、在不同技术或种类的不同层级中不均匀地划分电路功能、以及在不同技术或种类的不同层级中不均匀地划分不同功能。
就这点而言,图4例示了3DIC SOC 110的简化的横截面。3DIC SOC 110具有多个层级112。层级112可以通过氢气切割或其它单片层级形成方法来形成。对于示例性的氢气切割工艺的更多信息,感兴趣的读者参考2013年2月12日提交的、序列号13/765,080的美国专利申请,通过引用将其全部内容并入本文中。
如以上提及的,使用3DIC技术允许3DIC SOC 110内的层级112中的不同层级执行不同功能并在单个3DIC SOC 110中提供具体设备的所有功能。例如,3DIC SOC 110可以是用于移动终端(例如上面参照图1所描述的移动终端10)的RF收发机和控制器。因此,第一层级114包括传感器和其它较大特征尺寸的元件。
继续参照图4,第二层级116可以包括射频、模拟和/或功率管理集成电路(PMIC)部件,例如接收机22、发射机24和双工器/交换机28。第二层级116可以被设计为相对低噪声,从而到来的RF模拟信号不会失真。
继续参照图4,可以在第二层级116与第三层级120之间设置电磁(EM)屏蔽体。EM屏蔽体118可以由导电材料(例如石墨烯层)制成。对于关于3DIC中的石墨烯屏蔽体的更多信息,感兴趣的读者参考2013年2月12日提交的、序列号为13/765,061的美国专利申请,通过引用将该专利申请的公开内容的全部内容并入本文。
EM屏蔽体118的出现有助于防止来自第一层级114和第二层级116的噪声影响第三层级120的低噪声特性。第三层级120可具有调制解调器或其它控制器。为了在第三层级120上容纳该功能,可以将第三层级120的材料和设计选择为对中等速度的架构进行提升。
继续参照图4,第四层级122和第五层级124可以是具有随机存取存储器(RAM)的存储位单元阵列,随机存取存储器(RAM)包括动态RAM(DRAM)、静态RAM(SRAM)、等等。层级122和层级124两者可以被设计为提供低漏电电路来提高对RAM的操作。
继续参照图4,第六层级126和第七层级128可以是通常的处理单元层级。第六层级126可以包括数字信号处理器(DSP),例如使用组合逻辑单元的基带处理器30(图1),同时第七层级128可以包括依赖于时序逻辑单元的DSP。层级126和层级128两者可以被设计为支持高速而超过对漏电的关心。
在示例性的实施例中,层级通过MIV 130来电气地互相耦合。对于关于MIV的更多信息,感兴趣的读者参考2013年的IEEE/ACM亚洲及南太平洋设计自动化会议学报681-686页中Shreedpad Panth等人的“High-Density Integration of Functional ModulesUsing Monolithic 3D-IC Technology”。通过引用将其全部内容并入本文。与TSV相反,MIV的直径可以在亚100nm的数量级(即,比TSV的微米尺寸小得多),并且为200nm或更小的深度。此外,在示例性实施例中,多个层级112中的每个层级可以大约为400nm厚或更薄。在图4的局部图中例示了这些尺寸。
通过提供具有不同功能和/或能够跨不同层级拆分电路的不同层级,完整的系统IC可能包括电池、传感器、存储器、能量收集功能、PMIC、处理器、数字和模拟部件、等等。每个层级可以被优化为容纳在其上设置的功能。此外,非常高密度的层级与层级的连接(即,MIV)允许高度的晶圆级集成。3DIC SOC可具有均匀的单元级3D划分—时序-组合逻辑单元、多层级存储位单元阵列。类似地,3DIC SOC可具有细粒度的不均匀的3D划分,例如存储器到数字核心的划分、位单元阵列-控制逻辑单元的划分。这种灵活性允许用于最佳系统功能的宽范围的技术特征。
如上面提及的,在示例性实施例中,如图6中例示出的,3DIC SOC可以是全部位于一个IC内的完整的RF收发机和基带处理器。具体来说,图6例示了与移动终端10类似的移动终端150,除了移动终端150的电路元件包含在单个3DIC 152内。天线16和用户接口26与3DIC 152相独立,尽管如果期望的话将天线包括在3DIC中是可能的。电路元件的功能保持相同,并因此使用相同的序号来引用。类似地,具有处理器、电池、以及存储器的控制系统可以位于单个3DIC SOC中。这样的3DIC SOC允许空间节省的设计,但仍然允许较好的系统性能,因为个体的层级被定制为在其上设置的功能。使用屏蔽体或其它隔离技术允许增强的信号隔离。此外,存在总体上减小的复杂性,因为在不同层或不同IC之间不需要外部引线连接。在示例性的实施例中,总的3DIC SOC可以是亚1mm3
参照图7,与基于处理器的系统60类似的基于处理器的系统154可以包括并入了基于处理器的系统的电路元件的单个3DIC 156。电路元件的功能保持相同,并因此使用相同的序号来引用。
如上面提及的,提供具有多个层级112的3DIC 110的其它优点中的一个优点是具体层级的操作特性可以被定制为具体需求或期望。可以通过使用不同材料(例如,Si相对于GaAs)或者通过不同类型的掩模来实现这样的操作特性以改变参数例如内部延迟或存储器漏电流。在下方的表1中提供了参数或特性以及它们如何改变存储器的操作概况的示例性总结。例如,一个或多个存储部分的存储单元晶体管的一种或多种晶体管特性可以被修改为权衡增加的内部延迟用于减小的漏电流。就这点而言,下面的表1例示了各种晶体管特性,它们可以被修改为影响一个或多个存储部分的漏电流和内部延迟。表1例示了修改存储单元晶体管沟道长度(L)、存储单元晶体管沟道宽度(W)、以及存储单元晶体管阈值电压(Vt)的影响。此外,表1例示了在HVt、NVt、或者LVt存储单元晶体管之中进行选择以提供一个或多个存储部分的影响。表1还例示了对存储单元晶体管的体(B)端进行偏置的影响。表1例示了修改上面所提及的特征的各种影响,包括:这种修改增加(+)还是减小(-)了一个或多个存储部分的存储单元晶体管的感应沟道的漏极-源极电导(GDS);这种修改增加(+)还是减小(-)了一个或多个存储部分的存储单元晶体管的感应沟道的漏极-源极电阻(RDS);这种修改增加(+)还是减小(-)了一个或多个存储部分的漏电流;以及这种修改增加(+)还是减小(-)了一个或多个存储部分的内部延迟。
表1:
修改存储单元晶体管特性的示例性影响
在示例性的实施例中,图5中通过过程140例示了一种实现3DIC的方法。过程通过提供多个层级112(框142)开始。过程通过提供跨层级112的多个功能元件(框144)继续。过程通过使用MIV 130来使层级互相耦合(框146)而继续。因此,使用MIV 130互相耦合的多个层级112和多个功能形成SOC 110(框148)。
还应当注意,在本文中的示例性实施例中的任何实施例中所描述的操作步骤被描述为提供示例和讨论。除了例示出的顺序之外,可以以许多不同的顺序来执行所描述的操作。此外,在单个操作步骤中所描述的操作可以实际上在多个不同的步骤中执行。此外,示例性实施例中所讨论的一个或多个操作步骤可以进行组合。应当理解,如将对本领域技术人员来说显而易见的,在流程图图示中例示出的操作步骤可以受到许多不同的修改。本领域技术人员还将理解,可以使用多种不同的技术个技巧中的任何技术和技巧来表示信息和信号。例如,可以贯穿以上描述所引用的数据、指令、命令、信息、信号、比特、符号、以及芯片可以通过电压、电流、电磁波、磁场或磁粒子、光场或光学粒子、或者它们的组合来表示。
提供了本公开内容的先前描述,以使得本领域任何技术人员能够制造或使用本公开内容。对本公开内容的各种修改将对本领域技术人员来说是显而易见的,并且在不脱离本公开内容的精神或范围的情况下,本文中所定义的通用原理可以应用于其它变型方式。因此,本公开内容并非旨在受限于本文中所描述的示例和设计,而应当符合与本文中所公开的原理和新颖特征相一致的最广泛的范围。

Claims (25)

1.一种单片三维(3D)集成电路(IC)(3DIC)系统,包括:
多个层级,所述多个层级被设置为一个层级位于另一个层级的顶部上;
多个功能元件,所述多个功能元件包括:
至少一个信号处理元件;
至少一个存储元件;以及
至少一个功率管理元件;
所述多个功能元件分布于所述多个层级之中;以及
多个单片层级间过孔(MIV),所述多个单片层级间过孔(MIV)电耦合所述多个层级;
所述多个功能元件被配置为以自容式的方式来交互操作。
2.根据权利要求1所述的单片3DIC系统,其中,所述多个层级中的每个层级都具有相同的水平尺寸。
3.根据权利要求1所述的单片3DIC系统,其中,所述多个层级中的至少一个层级被优化,用于高速运行。
4.根据权利要求1所述的单片3DIC系统,其中,所述多个层级中的至少一个层级被优化,用于低漏电流。
5.根据权利要求1所述的单片3DIC系统,其中,所述多个功能元件中的不同功能元件被设置于所述多个层级中的不同层级上。
6.根据权利要求1所述的单片3DIC系统,其中,所述多个层级中的第一层级包括第一技术类型,所述第一技术类型被配置为对来自所述多个功能元件的第一功能进行优化。
7.根据权利要求6所述的单片3DIC系统,其中,所述多个层级中的第二层级包括第二技术类型,所述第二技术类型被配置为对来自所述多个功能元件的第二功能进行优化。
8.根据权利要求7所述的单片3DIC系统,其中,所述多个层级还包括第三层级。
9.根据权利要求1所述的单片3DIC系统,其中,所述系统操作为RF收发机。
10.根据权利要求1所述的单片3DIC系统,还包括选自由以下各项构成的组的、所述单片3DIC集成到其中的设备:机顶盒、娱乐单元、导航设备、通信设备、固定位置数据单元、移动位置数据单元、蜂窝电话、便携式计算机、台式计算机、个人数字助理(PDA)、计算机监视器、电视机、调谐器、卫星收音机、数字音乐播放器、便携式音乐播放器、数字视频播放器、数字视频光盘(DVD)播放器、以及便携式数字视频播放器。
11.根据权利要求1所述的单片3DIC系统,还包括选自由以下各项构成的组的、所述单片3DIC集成到其中的设备:移动电话、计算机、监视器、收音机、音乐播放器、视频播放器。
12.根据权利要求1所述的单片3DIC系统,其中,所述多个功能元件还包括至少一个通信元件。
13.根据权利要求1所述的单片3DIC系统,其中,所述多个功能元件还包括选自由以下各项构成的组的至少一个元件:计算、数字处理、模拟处理、射频(RF)信号处理、以及模拟/混合信号处理。
14.根据权利要求1所述的单片3DIC系统,其中,所述多个功能元件还包括选自由以下各项构成的组的至少一个元件:传感器、电源、以及电池。
15.根据权利要求1所述的单片3DIC系统,其中,所述多个功能元件还包括选自由以下各项构成的组的至少一个元件:数字逻辑、低漏电、低噪声/高增益、时钟、组合逻辑、以及时序逻辑。
16.一种单片三维(3D)集成电路(IC)(3DIC)系统,包括:
多个层级,所述多个层级被设置为一个层级位于另一个层级的顶部上;
用于提供多个功能的单元,包括:
至少一个用于信号处理的单元;
至少一个存储单元;以及
至少一个用于功率管理的单元;
所述用于提供所述多个功能的单元被分布于所述多个层级之中;以及
用于使所述多个层级电气地互相耦合的单元;
所述用于提供所述多个功能的单元被配置为以自容式的方式来交互操作。
17.根据权利要求16所述的单片3DIC系统,其中,所述用于使所述多个层级电气地互相耦合的单元包括单片层级间过孔(MIV)。
18.根据权利要求16所述的单片3DIC系统,其中,所述系统操作为RF收发机。
19.一种实现三维(3D)集成电路(IC)(3DIC)系统的方法,包括:
在3DIC内提供多个层级;
提供跨所述多个层级的多个功能元件,所述多个功能元件包括:
至少一个信号处理元件;
至少一个存储元件;以及
至少一个功率管理元件;以及
使用单片层级间过孔(MIV)来使所述多个层级互相耦合;
所述多个功能元件被配置为以自容式的方式来交互操作。
20.根据权利要求19所述的方法,其中,提供所述多个层级包括:提供相同水平尺寸的多个层级。
21.根据权利要求19所述的方法,其中,提供所述多个层级包括提供被优化用于高速运行的至少一个层级。
22.根据权利要求19所述的方法,其中,提供所述多个层级包括提供被优化用于低漏电流的至少一个层级。
23.根据权利要求19所述的方法,其中,所述多个功能元件中的不同功能元件被设置于所述多个层级中的不同层级上。
24.根据权利要求19所述的方法,其中,所述多个层级中的第一层级包括第一技术类型,所述第一技术类型被配置为对来自所述多个功能元件的第一功能进行优化。
25.根据权利要求24所述的方法,其中,所述多个层级中的第二层级包括第二技术类型,所述第二技术类型被配置为对来自所述多个功能元件的第二功能进行优化。
CN201480039458.6A 2013-07-16 2014-07-14 使用单片三维(3d)集成电路(ic)(3dic)技术完成片上系统(soc) Active CN105378918B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361846648P 2013-07-16 2013-07-16
US61/846,648 2013-07-16
US14/013,399 2013-08-29
US14/013,399 US9418985B2 (en) 2013-07-16 2013-08-29 Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
PCT/US2014/046503 WO2015009614A1 (en) 2013-07-16 2014-07-14 Complete system-on-chip (soc) using monolithic three dimensional (3d) integrated circuit (ic) (3dic) technology

Publications (2)

Publication Number Publication Date
CN105378918A CN105378918A (zh) 2016-03-02
CN105378918B true CN105378918B (zh) 2018-05-04

Family

ID=52343114

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480039458.6A Active CN105378918B (zh) 2013-07-16 2014-07-14 使用单片三维(3d)集成电路(ic)(3dic)技术完成片上系统(soc)

Country Status (9)

Country Link
US (2) US9418985B2 (zh)
EP (1) EP3022766A1 (zh)
JP (1) JP2016529702A (zh)
KR (1) KR101832330B1 (zh)
CN (1) CN105378918B (zh)
BR (1) BR112016000868B1 (zh)
CA (1) CA2917586C (zh)
TW (1) TWI618222B (zh)
WO (1) WO2015009614A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9418985B2 (en) 2013-07-16 2016-08-16 Qualcomm Incorporated Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
ES2798115T3 (es) * 2014-06-20 2020-12-09 Nagravision Sa Módulo de interfaz física
US9256246B1 (en) * 2015-01-29 2016-02-09 Qualcomm Incorporated Clock skew compensation with adaptive body biasing in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9628077B2 (en) 2015-03-04 2017-04-18 Qualcomm Incorporated Dual power swing pipeline design with separation of combinational and sequential logics
CN105391823B (zh) * 2015-11-25 2019-02-12 上海新储集成电路有限公司 一种降低移动设备尺寸和功耗的方法
CN105742277B (zh) * 2016-04-13 2018-06-22 中国航天科技集团公司第九研究院第七七一研究所 一种大容量立体集成sram存储器三维扩展方法
US9523760B1 (en) * 2016-04-15 2016-12-20 Cognitive Systems Corp. Detecting motion based on repeated wireless transmissions
US9754923B1 (en) 2016-05-09 2017-09-05 Qualcomm Incorporated Power gate placement techniques in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9929149B2 (en) 2016-06-21 2018-03-27 Arm Limited Using inter-tier vias in integrated circuits
US9871020B1 (en) * 2016-07-14 2018-01-16 Globalfoundries Inc. Through silicon via sharing in a 3D integrated circuit
US10678985B2 (en) * 2016-08-31 2020-06-09 Arm Limited Method for generating three-dimensional integrated circuit design
US9712168B1 (en) * 2016-09-14 2017-07-18 Qualcomm Incorporated Process variation power control in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US10176147B2 (en) 2017-03-07 2019-01-08 Qualcomm Incorporated Multi-processor core three-dimensional (3D) integrated circuits (ICs) (3DICs), and related methods
US10719100B2 (en) 2017-11-21 2020-07-21 Western Digital Technologies, Inc. System and method for time stamp synchronization
US10727965B2 (en) * 2017-11-21 2020-07-28 Western Digital Technologies, Inc. System and method for time stamp synchronization
CN110069795A (zh) * 2018-01-23 2019-07-30 长芯半导体有限公司 快速定制芯片方法
GB2586050B (en) * 2019-07-31 2021-11-10 Murata Manufacturing Co Power supply output device
GB2586049B (en) * 2019-07-31 2022-03-09 Murata Manufacturing Co Power supply output device
US11270917B2 (en) * 2020-06-01 2022-03-08 Alibaba Group Holding Limited Scalable and flexible architectures for integrated circuit (IC) design and fabrication
CN112769402B (zh) * 2020-12-21 2024-05-17 中国航天科工集团八五一一研究所 基于TSV技术的X/Ku波段宽带变频组件
EP4024222A1 (en) 2021-01-04 2022-07-06 Imec VZW An integrated circuit with 3d partitioning
KR102443742B1 (ko) * 2021-02-08 2022-09-15 고려대학교 산학협력단 모놀리식 3d 집적 기술 기반 스크래치패드 메모리

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61131474A (ja) * 1984-11-30 1986-06-19 Agency Of Ind Science & Technol 積層型半導体装置
US6046078A (en) * 1997-04-28 2000-04-04 Megamos Corp. Semiconductor device fabrication with reduced masking steps
US20030015768A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and method for microelectromechanical system (MEMS) devices integrated with other semiconductor structures
WO2003030252A2 (en) 2001-09-28 2003-04-10 Hrl Laboratories, Llc Process for producing interconnects
US7126214B2 (en) 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
JP2004165269A (ja) * 2002-11-11 2004-06-10 Canon Inc 積層形半導体装置
KR100569590B1 (ko) 2003-12-30 2006-04-10 매그나칩 반도체 유한회사 고주파 반도체 장치 및 그 제조방법
DE102006030267B4 (de) * 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano-Einprägetechnik mit erhöhter Flexibilität in Bezug auf die Justierung und die Formung von Strukturelementen
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US7692448B2 (en) 2007-09-12 2010-04-06 Neal Solomon Reprogrammable three dimensional field programmable gate arrays
ATE512114T1 (de) * 2008-09-03 2011-06-15 St Microelectronics Tours Sas Dreidimensionale struktur mit sehr hoher dichte
US8115511B2 (en) * 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US7986042B2 (en) * 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20110199116A1 (en) 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
KR20120027339A (ko) * 2009-05-14 2012-03-21 에스알아이 인터내셔널 유기 광전자 소자를 위한 저비용 고효율의 투명한 유기 전극
TWI501380B (zh) * 2010-01-29 2015-09-21 Nat Chip Implementation Ct Nat Applied Res Lab 多基板晶片模組堆疊之三維系統晶片結構
US8450779B2 (en) 2010-03-08 2013-05-28 International Business Machines Corporation Graphene based three-dimensional integrated circuit device
JP2012019018A (ja) * 2010-07-07 2012-01-26 Toshiba Corp 半導体装置及びその製造方法
CN102024782B (zh) * 2010-10-12 2012-07-25 北京大学 三维垂直互联结构及其制作方法
EP2469597A3 (en) 2010-12-23 2016-06-29 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Multi-level integrated circuit, device and method for modeling multi-level integrated circuits
TWI496271B (zh) * 2010-12-30 2015-08-11 Ind Tech Res Inst 晶圓級模封接合結構及其製造方法
DE102011004581A1 (de) * 2011-02-23 2012-08-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Technik zur Reduzierung der plasmahervorgerufenen Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika durch modifizierten HF-Leistungshochlauf
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP6019599B2 (ja) * 2011-03-31 2016-11-02 ソニー株式会社 半導体装置、および、その製造方法
WO2013052679A1 (en) 2011-10-04 2013-04-11 Qualcomm Incorporated Monolithic 3-d integration using graphene
US9496255B2 (en) * 2011-11-16 2016-11-15 Qualcomm Incorporated Stacked CMOS chipset having an insulating layer and a secondary layer and method of forming same
JP5981711B2 (ja) * 2011-12-16 2016-08-31 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
JP2013215917A (ja) 2012-04-05 2013-10-24 Seiko Epson Corp 印刷装置、及び、印刷方法
CN103545275B (zh) * 2012-07-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 硅通孔封装结构及形成方法
US8889491B2 (en) * 2013-01-28 2014-11-18 International Business Machines Corporation Method of forming electronic fuse line with modified cap
US9171608B2 (en) * 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
KR20140113024A (ko) * 2013-03-15 2014-09-24 에스케이하이닉스 주식회사 저항 변화 메모리 장치 및 그 구동방법
US9418985B2 (en) 2013-07-16 2016-08-16 Qualcomm Incorporated Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
US9070711B2 (en) * 2013-08-02 2015-06-30 Globalfoundries Inc. Methods of forming cap layers for semiconductor devices with self-aligned contact elements and the resulting devices

Also Published As

Publication number Publication date
BR112016000868B1 (pt) 2022-08-16
JP2016529702A (ja) 2016-09-23
WO2015009614A1 (en) 2015-01-22
US9583473B2 (en) 2017-02-28
KR20160032182A (ko) 2016-03-23
CA2917586A1 (en) 2015-01-22
US20150022262A1 (en) 2015-01-22
KR101832330B1 (ko) 2018-02-26
EP3022766A1 (en) 2016-05-25
US20160351553A1 (en) 2016-12-01
BR112016000868A2 (zh) 2017-07-25
US9418985B2 (en) 2016-08-16
CN105378918A (zh) 2016-03-02
CA2917586C (en) 2019-02-12
TWI618222B (zh) 2018-03-11
TW201513299A (zh) 2015-04-01

Similar Documents

Publication Publication Date Title
CN105378918B (zh) 使用单片三维(3d)集成电路(ic)(3dic)技术完成片上系统(soc)
Matolak et al. Wireless networks-on-chips: architecture, wireless channel, and devices
Yan Simulation analysis of key technology optimization of 5G mobile communication network based on Internet of Things technology
Kim et al. Analysis of noncoherent ASK modulation-based RF-interconnect for memory interface
CN107247713A (zh) 一种支持语言翻译及共享wifi的智能翻译系统
CN107087303A (zh) 一种基站硬件虚拟化方法、装置和基站
TW202133566A (zh) 阻抗匹配收發器
JP6147930B2 (ja) 垂直メモリ構成要素を有するモノリシック3次元(3d)集積回路(ics)(3dic)
Hwang et al. 3D IC and RF SiPs: Advanced Stacking and Planar Solutions for 5G Mobility
CN108172204A (zh) 一种无线数据传输方法及系统、电子乐器及智能终端
Zwenger et al. Antenna in package (AiP) technology for 5G growth
CN109690756A (zh) 使用双侧硅化的衬底触点
Liu et al. Research on 5G technology competition situation based on patent analysis
Wang et al. A 3-D Reconfigurable Memory I/O Interface Using a Quad-Band Interconnect
CN106329052A (zh) 一种功率分配器
Kuroda et al. Wireless Interface Technologies for 3D IC and Module Integration
Lafi et al. A stackable LTE chip for cost-effective 3D systems
CN211425502U (zh) 一种基于ArcGIS技术的地理信息数据采集装置
CN205987369U (zh) 麦克风及麦克风组合
US20230238365A1 (en) System packaging for cellular modem and transceiver system of heterogeneous stacking
Belous et al. Wireless Telecommunication Systems
CN105429707B (zh) 一种提高上网速率的系统和移动终端数据上传及下载方法
Li Impact of nanotechnology on wireless communications
CN207854194U (zh) 带MiFi功能的翻译机
Brodersen Infopad-past, present and future

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant