KR20150074215A - 트랜지스터 게이트용 캡핑 유전체 구조 - Google Patents

트랜지스터 게이트용 캡핑 유전체 구조 Download PDF

Info

Publication number
KR20150074215A
KR20150074215A KR1020157015842A KR20157015842A KR20150074215A KR 20150074215 A KR20150074215 A KR 20150074215A KR 1020157015842 A KR1020157015842 A KR 1020157015842A KR 20157015842 A KR20157015842 A KR 20157015842A KR 20150074215 A KR20150074215 A KR 20150074215A
Authority
KR
South Korea
Prior art keywords
gate
planar transistor
dielectric
present
dielectric material
Prior art date
Application number
KR1020157015842A
Other languages
English (en)
Other versions
KR101735976B1 (ko
Inventor
아론 더블유. 로젠바움
딘-하우 메이
사미어 에스. 프라드한
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=47996248&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20150074215(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20150074215A publication Critical patent/KR20150074215A/ko
Application granted granted Critical
Publication of KR101735976B1 publication Critical patent/KR101735976B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

본 발명은 마이크로전자 디바이스를 위한, 비-평면 트랜지스터를 포함한 마이크로전자 트랜지스터의 제조 분야에 관한 것이다. 본 발명의 실시형태는 고밀도 플라즈마 공정으로 형성될 수 있는, 실질적으로 보이드가 없는 유전체 캡핑 유전체 구조에 의해 캡핑된 오목한 게이트 전극의 형성에 관한 것이다.

Description

트랜지스터 게이트용 캡핑 유전체 구조{CAPPING DIELECTRIC STRUCTURE FOR TRANSISTOR GATES}
본 발명의 실시형태는 일반적으로 마이크로전자 디바이스 제조 분야에 관한 것이며, 더 구체적으로, 비-평면 트랜지스터 게이트 내에 캡핑 유전체 구조를 제조하는 것에 관한 것이다.
본 발명의 주제는 본 명세서의 결론 부분에서 특별히 지목되며 명백하게 청구된다. 본 발명의 전술한 특징 및 다른 특징들은 첨부 도면들과 함께 설명된 후속하는 설명 및 첨부 청구항으로부터 더욱 완전히 명백하게 될 것이다. 첨부 도면은 본 발명에 따른 수 개의 실시형태만을 묘사하며, 따라서 본 발명의 범위를 제한하는 것으로 간주되지 않음이 이해된다. 하기와 같은 첨부 도면의 사용을 통해 본 발명의 추가 특이성 및 상세내용이 설명되어, 본 발명의 장점이 더 용이하게 확인될 수 있다:
도 1은 본 발명의 실시형태에 따른 비-평면 트랜지스터의 투시도이다.
도 2는 마이크로전자 기판 내 또는 상에 형성된 비-평면 트랜지스터 핀(fin)의 측 단면도를 예시한다.
도 3은 본 발명의 실시형태에 따른, 도 2의 비-평면 트랜지스터 핀 위에 퇴적된 희생 재료의 측 단면도를 예시한다.
도 4는 본 발명의 실시형태에 따른, 퇴적된 희생 재료에 형성되어 도 3의 비-평면 트랜지스터 핀의 일부를 노출시키는 트랜치의 측 단면도를 예시한다.
도 5는 본 발명의 실시형태에 따른, 도 4의 트랜치에 형성된 희생 게이트의 측 단면도를 예시한다.
도 6은 본 발명의 실시형태에 따른, 도 5의 희생 재료 제거 후의 희생 게이트의 측 단면도를 예시한다.
도 7은 본 발명의 실시형태에 따른, 도 6의 희생 게이트 및 마이크로전자 기판 위에 퇴적된 등각 유전체 층의 측 단면도를 예시한다.
도 8은 본 발명의 실시형태에 따른, 도 7의 등각 유전체 층으로 형성된 게이트 스페이서들의 측 단면도를 예시한다.
도 9는 본 발명의 실시형태에 따른, 도 8의 게이트 스페이서들의 어느 한 측 상의 비-평면 트랜지스터 핀에 형성된 소스 영역 및 드레인 영역의 측 단면도를 예시한다.
도 10은 본 발명의 실시형태에 따른, 도 9의 게이트 스페이서들, 희생 게이트, 비-평면 트랜지스터 핀 및 마이크로전자 기판 위에 퇴적된 제1 유전체 재료의 측 단면도를 예시한다.
도 11은 본 발명의 실시형태에 따른, 제1 유전체 재료를 평탄화하여 희생 게이트의 상부 표면을 노출시킨 후의 도 10의 구조의 측 단면도를 예시한다.
도 12는 본 발명의 실시형태에 따른, 희생 게이트를 제거하여 게이트 트랜치를 형성한 후의 도 11의 구조의 측 단면도를 예시한다.
도 13은 본 발명의 실시형태에 따른, 게이트 스페이서들 사이의 비-평면 트랜지스터 핀 인근에 게이트 유전체를 형성한 후의 도 12의 구조의 측 단면도를 예시한다.
도 14는 본 발명의 실시형태에 따른, 도 13의 게이트 트랜치에 퇴적된 도전성 게이트 재료의 측 단면도를 예시한다.
도 15는 본 발명의 실시형태에 따른, 과량의 도전성 게이트 재료를 제거하여 비-평면 트랜지스터 게이트를 형성한 후의 도 14의 구조의 측 단면도를 예시한다.
도 16은 본 발명의 실시형태에 따른, 비-평면 트랜지스터 게이트의 일부를 식각해내어 오목한(recessed) 비-평면 트랜지스터 게이트를 형성한 후의 도 15의 구조의 측 단면도를 예시한다.
도 17은 본 발명의 실시형태에 따른, 오목한 비-평면 트랜지스터 게이트의 형성으로부터 초래된 오목부(recess)에 캡핑 유전체 재료를 퇴적한 후의 도 16의 구조의 측 단면도를 예시한다.
도 18은 본 발명의 실시형태에 따른, 도 17의 캡핑 유전체 재료를 퇴적하기 위한 고밀도 퇴적 공정의 흐름도를 예시한다.
도 19는 본 발명의 실시형태에 따른, 과량의 캡핑 유전체 재료를 제거하여 비-평면 트랜지스터 게이트 상에 캡핑 유전체 구조를 형성한 후의 도 17의 구조의 측 단면도를 예시한다.
도 20은 본 발명의 실시형태에 따른, 도 19의 제1 유전체 재료 층, 게이트 스페이서들, 및 희생 게이트 상부 표면 위에 퇴적된 제2 유전체 재료의 측 단면도를 예시한다.
도 21은 본 발명의 실시형태에 따른, 도 20의 제2 유전체 재료 상에 패터닝된 식각 마스크의 측 단면도를 예시한다.
도 22는 본 발명의 실시형태에 따른, 도 21의 제1 및 제2 유전체 재료 층을 통과하여 형성된 콘택 개구부의 측 단면도를 예시한다.
도 23은 본 발명의 실시형태에 따른, 식각 마스크 제거 후의 도 22의 구조의 측 단면도를 예시한다.'
도 24는 본 발명의 실시형태에 따른, 도 23의 콘택 개구부에 퇴적된 도전성 콘택 재료의 측 단면도를 예시한다.
도 25는 본 발명의 실시형태에 따른, 과량의 도전성 콘택 재료를 제거하여 소스/드레인 콘택을 형성한 후의 도 24의 구조의 측 단면도를 예시한다.
후속하는 상세한 설명에서, 예시로서, 청구된 주제가 실시될 수 있는 특정 실시형태를 도시하는 첨부 도면이 언급된다. 상기 실시형태들은 당업자가 주제를 실시하는 것이 가능하도록 충분히 상세하게 설명된다. 비록 상이하기는 하지만 다양한 실시형태들이 반드시 상호 배타적일 필요는 없음이 이해될 것이다. 예를 들어, 한 실시형태와 관련하여, 본 출원에 설명된 특정한 특징, 구조 또는 특성은 청구된 주제의 사상 및 범위로부터 벗어남이 없이 다른 실시형태 내에서 구현될 수 있다. 본 명세서 내에서 "한 실시형태" 또는 "실시형태"로 지칭하는 것은, 상기 실시형태와 관련하여 설명된 특정한 특징, 구조 또는 특성이 본 발명 내에 망라된 적어도 하나의 구현에 포함됨을 의미한다. 따라서, 문구 "한 실시형태" 또는 "실시형태에서"의 사용이 반드시 동일한 실시형태를 지칭할 필요는 없다. 또한, 각각의 개시된 실시형태 내에서의 개별 요소들의 위치 또는 배치는 청구된 주제의 사상 및 범위로부터 벗어남이 없이 변형될 수 있음이 이해될 것이다. 따라서, 후속하는 상세한 설명은 한정하는 의미로 설명되지 않으며, 주제의 범위는 첨부된 청구항에 의해서만, 적절히 해석하기로는, 첨부된 청구항에 부여된 권리의 동등물의 전체 범위를 따라 정의된다. 도면에서, 전체 도면에 걸쳐 유사한 번호는 동일하거나 유사한 요소 또는 기능을 지칭하고, 도면에 묘사된 요소들은 반드시 서로 일정한 비율일 필요는 없으며, 오히려 본 발명의 맥락에서 요소들을 좀더 이해하기 쉽도록 하기 위해 개별 요소들을 확대하거나 축소할 수 있다.
트리-게이트(tri-gate) 트랜지스터 및 FinFET와 같은 비-평면 트랜지스터의 제조시, 비-평면 반도체 몸체를 사용하여, 매우 작은 게이트 길이로(예를 들어, 약 30 nm 미만) 완전히 공핍될 수 있는 트랜지스터를 형성할 수 있다. 이들 반도체 몸체들은 일반적으로 핀-형상이며, 따라서 일반적으로 트랜지스터 "핀"으로 지칭된다. 예를 들어, 트리-게이트 트랜지스터에서, 트랜지스터 핀은 상부 표면, 및 벌크 반도체 기판 또는 실리콘-온-인슐레이터 기판상에 형성된 2개의 대향하는 측벽을 갖는다. 게이트 유전체는 반도체 몸체의 상부 표면 및 측벽 상에 형성될 수 있으며, 게이트 전극은 반도체 몸체의 상부 표면상의 게이트 유전체 위에 및 반도체 몸체의 측벽 상의 게이트 유전체 인근에 형성될 수 있다. 따라서, 게이트 유전체 및 게이트 전극은 반도체 몸체의 3면에 인접하므로, 3개의 분리된 채널 및 게이트가 형성된다. 3개의 분리된 채널이 형성되므로, 반도체 몸체는 트랜지스터가 턴-온될 경우 완전히 공핍될 수 있다. finFET 트랜지스터와 관련하여, 게이트 재료 및 전극은 반도체 몸체의 측벽과만 접촉하여, 2개의 분리된 채널이 형성된다(트리-게이트 트랜지스터에서는 3개인 것과 달리).
본 발명의 실시형태는 마이크로전자 트랜지스터의 형성에 관한 것이며, 상기에서 트랜지스터는 고밀도 플라즈마 공정을 이용하여 형성될 수 있는, 실질적으로 보이드가 없는 유전체 캡핑 유전체 구조에 의해 캡핑된 오목한 게이트 전극를 포함한다. 비록 본 출원의 실시형태는 비-평면 트랜지스터 및 대체 게이트(replacement gate) 기술 측면에서 설명되지만, 주제는 평면 트랜지스터 및 비-대체 게이트 응용에 사용될 수 있으므로 주제가 이에 한정되지 않는다.
도 1은 마이크로전자 기판(102)상에 형성된, 적어도 하나의 트랜지스터 핀 상에 형성된 적어도 하나의 게이트를 포함하는 비-평면 트랜지스터(100)의 투시도이다. 본 발명의 실시형태에서, 마이크로전자 기판(102)은 단결정 실리콘 기판일 수 있다. 마이크로전자 기판(102)은 또한, 이들 중 임의의 것이 실리콘과 결합할 수 있는, 실리콘-온-인슐레이터("SOI"), 게르마늄, 비화 갈륨, 안티몬화 인듐, 텔루르화 납, 비화 인듐, 인화 인듐, 비화 갈륨, 안티몬화 갈륨 등과 같은 다른 종류의 기판일 수 있다.
트리-게이트 트랜지스터로서 도시된 비-평면 트랜지스터는 적어도 하나의 비-평면 트랜지스터 핀(112)을 포함할 수 있다. 비-평면 트랜지스터 핀(112)은 상부 표면(114), 및 각각 측벽(116) 및 대향 측벽(118)의 한 쌍의 횡 방향으로 대향하는 측벽을 가질 수 있다.
도 1에 추가로 도시된 바와 같이, 적어도 하나의 비-평면 트랜지스터 게이트(122)는 비-평면 트랜지스터 핀(112) 위에 형성될 수 있다. 비-평면 트랜지스터 게이트(122)는 비-평면 트랜지스터 핀 상부 표면(114) 상에 또는 인근에, 및 비-평면 트랜지스터 핀 측벽(116) 및 대향하는 비-평면 트랜지스터 핀 측벽(118) 상 또는 인근에 게이트 유전체 층(124)을 형성함으로써 제조될 수 있다. 게이트 전극(126)은 게이트 유전체 층(124)상에 또는 인근에 형성될 수 있다. 본 발명의 한 실시형태에서, 비-평면 트랜지스터 핀(112)은 비-평면 트랜지스터 게이트(122)에 실질적으로 수직인 방향으로 이어질 수 있다.
게이트 유전체 층(124)은, 이에 제한되지는 않지만, 이산화 실리콘(SiO2), 산질화 실리콘(SiOxNy), 질화 실리콘(Si3N4), 및 산화 하프늄, 산화 하프늄 실리콘, 산화 란타늄, 산화 란타늄 알루미늄, 산화 지르코늄, 산화 지르코늄 실리콘, 산화 탄탈륨, 산화 티타늄, 산화 바륨 스트론튬 티타늄, 산화 바륨 티타늄, 산화 스트론튬 티타늄, 산화 이트륨, 산화 알루미늄, 산화 납 스칸듐 탄탈륨 및 니오브산 납 아연과 같은 고-유전율(high-k) 유전체 재료를 포함하는 임의의 공지된 게이트 유전체 재료로 형성될 수 있다. 게이트 유전체 층(124)은, 당업자에게 이해되는 바와 같이, 예를 들어, 게이트 유전체 재료를 등각 퇴적한 다음, 공지의 포토리소그래피 및 식각 기술로 게이트 유전체 재료를 패터닝하는 것과 같은 공지의 기술에 의해 형성될 수 있다.
게이트 전극(126)은 임의의 적절한 게이트 전극 재료로 형성될 수 있다. 본 발명의 실시형태에서, 게이트 전극(126)은, 이에 제한되지는 않지만, 폴리실리콘, 텅스텐, 루테늄, 팔라듐, 백금, 코발트, 니켈, 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄, 탄화 티타늄, 탄화 지르코늄, 탄화 탄탈륨, 탄화 하프늄, 탄화 알루미늄, 다른 탄화 금속, 질화 금속, 및 산화 금속을 포함하는 재료로 형성될 수 있다. 게이트 전극(126)은, 당업자에게 이해되는 바와 같이, 게이트 전극 재료를 블랭킷 퇴적한 다음, 공지의 포토리소그래피 및 식각 기술로 게이트 전극 재료를 패터닝하는 것과 같은 공지의 기술에 의해 형성될 수 있다.
게이트 전극(126)의 대향 측 상의 비-평면 트랜지스터 핀(112)에 소스 영역 및 드레인 영역(도 1에 미도시)이 형성될 수 있다. 한 실시형태에서, 소스 및 드레인 영역은, 당업자에게 이해되는 바와 같이, 비-평면 트랜지스터 핀(112)을 도핑함으로써 형성될 수 있다. 다른 실시형태에서, 소스 및 드레인 영역은, 당업자에게 이해되는 바와 같이, 비-평면 트랜지스터 핀(112)의 일부를 제거하고 이들 부분을 적절한 재료(들)로 대체하여 소스 및 드레인 영역을 형성함으로써 형성될 수 있다.
도 2-26은 비-평면 트랜지스터를 제조하는 한 실시형태의 측 단면도를 예시하며, 상기에서 도 2-5는 도 1의 화살표 A-A 및 B-B를 따르는 도면이고, 도 6-15는 도 1의 화살표 A-A를 따르는 도면이며, 도 16-26은 도 1의 화살표 C-C를 따르는 도면이다.
도 2에 도시된 바와 같이, 비-평면 트랜지스터 핀(112)은, 마이크로전자 기판(102)을 식각하거나, 당 업계에 공지된 임의의 기술에 의해 마이크로전자 기판(102) 상에 비-평면 트랜지스터 핀(112)을 형성함으로써 형성될 수 있다. 도 3에 예시된 바와 같이, 희생 재료(132)는 도 3에 도시된 바와 같이 비-평면 트랜지스터 핀(112) 위에 퇴적될 수 있으며, 도 4에 도시된 바와 같이, 희생 재료(132)에 트랜치(134)를 형성하여 비-평면 트랜지스터 핀(112)의 일부를 노출시킬 수 있다. 희생 재료(132)는 당 업계에 공지된 임의의 적절한 재료일 수 있으며, 트랜치(134)는, 이에 제한되지는 않지만, 리소그래피 마스킹 또는 식각을 포함하는 당 업계에 공지된 임의의 기술에 의해 형성될 수 있다.
도 5에 도시된 바와 같이, 트랜치(134)(도 4 참조)에 희생 게이트(136)를 형성할 수 있다. 희생 게이트(136)는, 폴리실리콘 재료 등과 같은 임의의 적절한 재료일 수 있으며, 이에 제한되지는 않지만, 화학 기상 증착("CVD") 및 물리 기상 증착("PVD")을 포함하는 당 업계에 공지된 임의의 기술에 의해 트랜치(134)(도 4 참조)에 퇴적될 수 있다.
도 6에 도시된 바와 같이, 희생 재료(132)의 선택적 식각과 같은, 당 업계에 공지된 임의의 기술에 의해 도 5의 희생 재료(132)를 제거하여 희생 게이트(136)를 노출시킬 수 있다. 도 7에 도시된 바와 같이, 희생 게이트(136) 및 마이크로전자 기판(102) 위에 등각 유전체 층(142)을 퇴적할 수 있다. 등각 유전체 층(142)은, 이에 제한되지는 않지만, 질화 실리콘(Si3N4) 및 탄화 실리콘(SiC)을 포함하는 임의의 적절한 재료일 수 있으며, 이에 제한되지는 않지만 원자 층 증착("ALD")을 포함하는 임의의 적절한 기술에 의해 형성될 수 있다.
도 8에 도시된 바와 같이, 도 7의 등각 유전체 층(142)은, 마이크로전자 기판(102), 및 희생 게이트(136)의 상부 표면(148) 근처의 등각 유전체 재료 층(142)을 실질적으로 제거하면서, 예를 들어 적절한 식각제를 이용한 방향성 식각(directional etch)에 의해 식각되어, 희생 게이트(136)의 측벽(146) 상에 한 쌍의 게이트 스페이서(144)를 형성할 수 있다. 게이트 스페이서(144)의 형성 동안 비-평면 트랜지스터 핀(112)의 측벽(116 및 118)(도 1 참조) 상에 핀 스페이서(미도시)가 동시에 형성될 수 있음이 이해된다.
도 9에 도시된 바와 같이, 게이트 스페이서(144)의 어느 한 측 상에 소스 영역(150a) 및 드레인 영역(150b)이 형성될 수 있다. 한 실시형태에서, 소스 영역(150a) 및 드레인 영역(150b)은 도펀트의 이온주입으로 비-평면 트랜지스터 핀(112)에 형성될 수 있다. 당업자에게 이해되는 바와 같이, 도펀트 이온주입은 도전성 및 전자적 특성을 변화시킬 목적으로 불순물을 반도체 재료에 도입하는 공정이다. 이는 일반적으로, 총체적으로 "도펀트"로 지칭되는 P-형 이온(예를 들어, 붕소) 또는 N-형 이온(예를 들어, 인) 중 어느 하나를 이온주입 함으로써 달성된다. 다른 실시형태에서, 비-평면 트랜지스터 핀(112)의 일부는 예를 들어 식각과 같은 당 업계에 공지된 임의의 기술에 의해 제거되고, 제거된 부분 대신에 소스 영역(150a) 및 드레인 영역(150b)을 형성할 수 있다. 소스 영역(150a) 및 드레인 영역은 이하에서 총체적으로 "소스/드레인 영역(150)"으로 지칭될 것이다.
도 10에 도시된 바와 같이, 게이트 스페이서(144), 희생 게이트 상부 표면(148), 비-평면 트랜지스터 핀(112) 및 마이크로전자 기판(102) 위에 제1 유전체 재료 층(152)을 퇴적할 수 있다. 도 11에 도시된 바와 같이, 제1 유전체 재료 층(152)을 평탄화하여 희생 게이트 상부 표면(148)을 노출시킬 수 있다. 제1 유전체 재료 층(152)의 평탄화는, 이에 제한되지는 않지만 화학 기계적 연마(CMP)를 포함하는, 당 업계에 공지된 임의의 기술에 의해 달성될 수 있다.
도 12에 도시된 바와 같이, 도 11의 희생 게이트(136)를 제거하여 게이트 트랜치(154)를 형성할 수 있다. 희생 게이트(136)는 선택적 식각과 같은, 당 업계에 공지된 임의의 기술에 의해 제거될 수 있다. 도 13에 도시된 바와 같이, 도 1에도 예시된 게이트 유전체 층(124)을 전술한 바와 같이 비-평면 트랜지스터 핀(112)에 인접하도록 형성할 수 있다.
도 14에 도시된 바와 같이, 게이트 트랜치(154)에 도전성 게이트 재료(156)를 퇴적할 수 있고, 도 15에 도시된 바와 같이, 과량의 도전성 게이트 재료(156)(예를 들어, 도 12의 게이트 트랜치(154) 내부에 존재하지 않은 도전성 게이트 재료(156))를 제거하여 비-평면 트랜지스터 게이트 전극(126)(도 1 또한 참조)을 형성할 수 있다. 게이트 전극(126)을 형성하는 재료 및 방법은 전술되었다. 과량의 도전성 게이트 재료(156)의 제거는, 이에 제한되지는 않지만 화학 기계적 연마(CMP), 식각 등을 포함하는, 당 업계에 공지된 임의의 기술에 의해 달성될 수 있다.
도 16에 도시된 바와 같이, 비-평면 트랜지스터 게이트 전극(126)의 일부를 제거하여 오목부(recess)(158) 및 오목한 비-평면 트랜지스터 게이트(162)를 형성할 수 있다. 제거는, 이에 제한되지는 않지만 습식 또는 건식 식각을 포함하는 임의의 공지된 기술에 의해 달성될 수 있다.
도 17에 도시된 바와 같이, 캡핑 유전체 재료(164)를 퇴적하여 도 16의 오목부(158)를 충진할 수 있다. 캡핑 유전체 재료(164)는, 이에 제한되지는 않지만 질화 실리콘(SixNy) 및 탄화 실리콘(SixCy)을 포함하는 임의의 적절한 재료일 수 있다. 하지만, 플라즈마 강화 화학 기상 증착과 같은, 유전체를 퇴적하기 위해 공지된 공정은 종횡비(높이 대 폭 비)가 높은 오목부(158)를 충진할 수 없을 수 있으며, 캡핑 유전체 재료(164)에 보이드가 형성되는 결과를 초래할 수 있다. 상기 보이드는 이후에 설명되는 바와 같이 콘택-대-게이트 단락을 초래할 수 있다. 보이드가 형성되는 것을 방지하기 위해, 고온 퇴적 기술(예를 들어, 400 ℃ 초과)을 사용할 수 있다. 하지만, 고온 퇴적은, 당업자에 의해 이해되는 바와 같이, 비-평면 트랜지스터 게이트 전극(126)의 일 함수에 영향을 미칠 수 있다. 또한, 고온 퇴적은 소스/드레인 영역(150)에서 도펀트 이동 또는 불활성화를 초래할 수 있다.
도 18은 고 종횡비 오목부(158)(도 16 참조) 내에 실질적으로 보이드가 없는 캡핑 유전체 재료(164)(도 17 참조)를 형성하기 위한 고밀도 플라즈마(HDP) 유전체 퇴적 공정(200)의 흐름도이다. 블록 210에서 정의된 바와 같이, 고밀도 플라즈마 챔버의 벽은 원하는 유전체 막, 즉 퇴적되는 유전체로 코팅될 수 있다. 블록 220에서 정의된 바와 같이, 실리콘 웨이퍼와 같은 마이크로전자 기판을 고밀도 플라즈마 챔버의 척(chuck) 상에 위치시킬 수 있다. 한 실시형태에서, 척은 예를 들어 약 75 ℃의 실온에서 수냉된 세라믹 정전 척일 수 있다. 블록 230에 정의된 바와 같이, 고밀도 플라즈마 챔버는 아르곤과 같은 비활성 기체로 가압될 수 있다. 한 실시형태에서, 고밀도 플라즈마 챔버는 지속가능한 저전력(low power) 무선 주파수(RF) 플라즈마를 타격하기 위해 약 40 mTorr 초과의 압력까지 가압될 수 있다. 블록 240에 정의된 바와 같이, 고밀도 플라즈마 챔버 내의 RF 전극은 고밀도 플라즈마 챔버에 적어도 하나의 반응성 기체를 도입하면서 파워 업(power up) 될 수 있다. 한 실시형태에서, 상부 및 측부 전극와 같은 각각의 RF 전극은 약 30초와 60초 사이의 지속시간 동안 약 2 kV와 8kV 사이의 범위까지 파워 업 될 수 있다. 반응성 기체는, 이에 제한되지는 않지만 산소, 질소 등을 포함할 수 있다. 마이크로전자 기판 온도는 척의 온도를 조정함으로써 약 300 ℃ 미만과 600 ℃ 사이에서(between less than about 300 ℃ and 600 ℃) 조절될 수 있다. 원하는 퇴적 결과를 위해 지속 시간, 마이크로전자 기판 온도, RF 전력 및 반응성 기체 혼합물을 조정할 수 있음이 이해된다. 블록 250에서 정의된 바와 같이, 퇴적 기체는 바이어스 RF 전극에 전력을 공급하면서 고밀도 플라즈마 챔버에 도입될 수 있다. 한 실시형태에서, 실란(SiH4), 질소(N2), 아르곤(Ar) 및 헬륨(He)을 포함하는 퇴적 기체를 사용하여 질화 실리콘 유전체를 퇴적할 수 있다. 다른 실시형태에서, 실란(SiH4), 메탄(CH4), 아르곤(Ar) 및 헬륨(He)을 포함하는 퇴적 기체를 사용하여 탄화 실리콘 유전체를 퇴적할 수 있다. RF 전극은 약 1 kV 내지 3 kV 범위의 바이어스 RF 전극으로 약 3 kV와 5 kV 사이의 범위까지 전력이 공급될 수 있다. 질화 실리콘 퇴적에 있어서 질소 기체 부피 대 실란 기체 부피의 비 및 메탄 기체 부피 대 실란 기체 부피의 비는, 실란 기체 유량을 약 30과 70 sccm 사이로 유지하면서, 유전체 층의 원하는 특성에 따라, 약 0.5와 9 사이일 수 있다. 상기 조건하에서, 퇴적 속도는 초당 약 7 내지 30 Å 사이의 범위일 수 있다. 퇴적 지속시간은 원하는 유전체 층 두께 및 퇴적 속도를 기초로 산출될 수 있음이 이해된다. 블록 260에서 정의된 바와 같이, 퇴적 공정은, 고밀도 플라즈마 퇴적 챔버로의 퇴적 기체의 도입을 중단시키고 바이어스 RF에 대한 전력 공급을 중단시킴으로써 중단된다. RF 전극에 대한 전력 공급은 단계적으로 감소될 수 있으며, 마이크로전자 기판에서 정전하가 소멸되어, 정전 척에서 마이크로전자 기판이 제거될 수 있다. 제거 후에, 예를 들어 원격 플라즈마 유닛에 의해 고밀도 플라즈마 챔버를 세정할 수 있으며, 고밀도 플라즈마 챔버의 벽을 원하는 유전체 막으로 재코팅할 수 있다.
상술한 공정은 유전체 층의 동시 퇴적 및 스퍼터링을 초래할 수 있으며, 상기에서 적절한 퇴적 대 스퍼터링 비는 실질적으로 보이드가 없는 유전체 층을 초래할 수 있다.
퇴적 후, 캡핑 유전체 재료(164)를 평탄화하여 과량의 캡핑 유전체 재료(164)(예를 들어, 도 16의 오목부 내에 존재하지 않는 캡핑 유전체 재료(164))를 제거하여, 도 19에 도시된 바와 같이, 오목한 비-평면 트랜지스터 게이트(162) 상에 및 게이트 스페이서(144)들 사이에 캡핑 유전체 구조(166)를 형성할 수 있다. 과량의 캡핑 유전체 재료(164)의 제거는, 이에 제한되지는 않지만 화학 기계적 연마(CMP), 식각 등을 포함하는, 당 업계에 공지된 임의의 기술에 의해 달성될 수 있다.
도 20에 도시된 바와 같이, 제1 유전체 재료 층(152), 게이트 스페이서(144) 및 캡핑 유전체 구조(166) 위에 제2 유전체 재료 층(168)이 퇴적될 수 있다. 제2 유전체 재료 층(168)은, 이에 제한되지는 않지만 이산화 실리콘(SiO2), 산질화 실리콘(SiOxNy) 및 질화 실리콘(Si3N4)을 포함하는 임의의 적절한 유전체 재료로 임의의 공지된 퇴적 기술에 의해 형성될 수 있다. 도 21에 도시된 바와 같이, 식각 마스크(172)는, 예를 들어 공지된 리소그래피 기술에 의해 제2 유전체 재료 층(168) 상에 적어도 하나의 개구부(174)를 갖도록 패터닝될 수 있다.
도 22에 도시된 바와 같이, 콘택 개구부(182)는, 도 21의 식각 마스크 개구부(174)를 통해 식각하여 소스/드레인 영역(150)의 일부를 노출시킴으로써, 제1 유전체 재료 층(152) 및 제2 유전체 재료 층(168)을 통과하여 형성될 수 있다. 도 23에 도시된 바와 같이, 도 22의 식각 마스크(172)는 그 이후에 제거될 수 있다. 한 실시형태에서, 제1 유전체 재료 층(152) 및 제2 유전체 재료 층(168)은 게이트 스페이서(144) 및 캡핑 유전체 구조(166) 모두의 유전체 재료와 상이하여, 제1 유전체 재료 층(152) 및 제2 유전체 재료 층(168)의 식각은 게이트 스페이서(144) 및 캡핑 유전체 구조(166)에 대해 선택적일 수 있다(즉, 더 빨리 식각된다). 이는 자기-정렬(self-aligning)로서 당 업계에 공지되어 있다.
도 24에 도시된 바와 같이, 도 23의 콘택 개구부(182)에 도전성 콘택 재료(188)를 퇴적할 수 있다. 도전성 콘택 재료(188)는, 이에 제한되지는 않지만 폴리실리콘, 텅스텐, 루테늄, 팔라듐, 백금, 코발트, 니켈, 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄, 탄화 티타늄, 탄화 지르코늄, 탄화 탄탈륨, 탄화 하프늄, 탄화 알루미늄, 다른 탄화 금속, 질화 금속 및 산화 금속을 포함할 수 있다. 도전성 콘택 재료(188)를 퇴적하기 전에 도 23의 콘택 개구부(182)에 다양한 접착층, 배리어 층, 실리사이드 층 및/또는 도전성 층들이 등각으로 배치 또는 형성될 수 있음이 이해된다.
도 25에 도시된 바와 같이, 도 24의 과량의 도전성 콘택 재료(188)(예를 들어, 도 12의 콘택 개구부(182) 내에 존재하지 않는 도전성 콘택 재료(188))를 제거하여 소스/드레인 콘택(190)을 형성할 수 있다. 과량의 도전성 콘택 재료(188)의 제거는, 이에 제한되지는 않지만 화학 기계적 연마(CMP), 식각 등을 포함하는, 당 업계에 공지된 임의의 기술에 의해 달성될 수 있다.
전술한 바와 같이, 한 실시형태에서, 제1 유전체 재료 층(152) 및 제2 유전체 재료 층(168)은 게이트 스페이서(144) 및 캡핑 유전체 구조(166) 모두의 유전체 재료와 상이하여, 제1 유전체 재료 층(152) 및 제2 유전체 재료 층(168)의 식각은 게이트 스페이서(144) 및 캡핑 유전체 구조(166)에 대해 선택적일 수 있다(즉, 더 빨리 식각된다). 따라서, 오목한 비-평면 트랜지스터(162)는 콘택 개구부(182)의 형성 동안 보호된다. 이로 인해, 비교적 크기가 큰 소스/드레인 콘택(190)을 형성하는 것이 가능하며, 이는 소스/드레인 콘택(190)과 오목한 비-평면 트랜지스터 게이트(162) 간의 단락 위험이 없이, 트랜지스터 구동 전류 성능을 증가시킬 수 있다. 보이드는 소스/드레인 콘택(190)과 오목한 비-평면 트랜지스터 게이트(162) 간의 단락 가능성을 증가시킬 것이므로, 캡핑 유전체 구조(166)에 보이드가 존재할 경우 상기는 가능하지 않다.
본 발명의 주제는 반드시 도 1-25에 예시된 특정 응용에 한정될 필요가 없음이 이해된다. 비록 예시된 실시형태는 비-평면 응용에 관한 것이지만, 주제는 비-평면 트랜지스터 및 비-대체 게이트 응용에 적용될 수 있음이 이해된다. 또한, 주제는 당업자에게 이해되는 바와 같이, 다른 마이크로전자 디바이스 제조 응용에 적용될 수 있다.
본 발명의 상세한 실시형태에 상기와 같이 설명된바, 첨부 청구항에 의해 정의된 본 발명은, 본 발명의 사상 또는 범위로부터 벗어나지 않고 본 발명의 다수의 명백한 변형이 가능하므로, 상기 설명에서 서술된 특정한 상세 내용에 의해 한정되지 않음이 이해된다.

Claims (1)

  1. 제1항에 기재된 장치.
KR1020157015842A 2011-09-30 2011-09-30 트랜지스터 게이트용 캡핑 유전체 구조를 형성하는 방법 KR101735976B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/054464 WO2013048516A1 (en) 2011-09-30 2011-09-30 Capping dielectric structure for transistor gates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR20147007165A Division KR20140049075A (ko) 2011-09-30 2011-09-30 트랜지스터 게이트용 캡핑 유전체 구조

Publications (2)

Publication Number Publication Date
KR20150074215A true KR20150074215A (ko) 2015-07-01
KR101735976B1 KR101735976B1 (ko) 2017-05-15

Family

ID=47996248

Family Applications (2)

Application Number Title Priority Date Filing Date
KR20147007165A KR20140049075A (ko) 2011-09-30 2011-09-30 트랜지스터 게이트용 캡핑 유전체 구조
KR1020157015842A KR101735976B1 (ko) 2011-09-30 2011-09-30 트랜지스터 게이트용 캡핑 유전체 구조를 형성하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR20147007165A KR20140049075A (ko) 2011-09-30 2011-09-30 트랜지스터 게이트용 캡핑 유전체 구조

Country Status (7)

Country Link
US (2) US9202699B2 (ko)
EP (1) EP2761664A4 (ko)
JP (1) JP2014531770A (ko)
KR (2) KR20140049075A (ko)
CN (1) CN107039527A (ko)
TW (2) TWI562359B (ko)
WO (1) WO2013048516A1 (ko)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
CN107039527A (zh) 2011-09-30 2017-08-11 英特尔公司 用于晶体管栅极的帽盖介电结构
US9177867B2 (en) 2011-09-30 2015-11-03 Intel Corporation Tungsten gates for non-planar transistors
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
WO2013085490A1 (en) 2011-12-06 2013-06-13 Intel Corporation Interlayer dielectric for non-planar transistors
US9034703B2 (en) * 2012-09-13 2015-05-19 International Business Machines Corporation Self aligned contact with improved robustness
EP2750167A1 (en) * 2012-12-31 2014-07-02 Imec Method for tuning the effective work function of a gate structure in a semiconductor device
EP3050103B1 (en) * 2013-09-27 2020-03-18 Intel Corporation Non-planar i/o and logic semiconductor devices having different workfunction on common substrate
CN104979199B (zh) * 2014-04-03 2018-06-01 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104979201B (zh) * 2014-04-03 2018-03-06 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9608086B2 (en) * 2014-05-20 2017-03-28 Global Foundries Inc. Metal gate structure and method of formation
CN106158725B (zh) 2015-03-26 2019-03-29 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
KR102432280B1 (ko) * 2015-07-31 2022-08-12 삼성전자주식회사 반도체 소자
JP6153975B2 (ja) * 2015-08-07 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理システム、プログラム、記録媒体および基板処理装置
US9922975B2 (en) 2015-10-05 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof
US9947657B2 (en) 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
JP6625200B2 (ja) 2016-03-24 2019-12-25 東京エレクトロン株式会社 半導体装置の製造方法
US20170338325A1 (en) * 2016-05-20 2017-11-23 Globalfoundries Inc. Method, apparatus and system for providing nitride cap layer in replacement metal gate structure
KR102589134B1 (ko) * 2016-12-02 2023-10-16 인텔 코포레이션 핀-엔드 스트레스-유도 피처들을 갖는 반도체 디바이스
KR20180073742A (ko) 2016-12-22 2018-07-03 삼성디스플레이 주식회사 플렉서블 표시패널, 플렉서블 표시패널 제조방법, 및 플렉서블 표시패널 제조장치
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11069562B1 (en) * 2020-01-15 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layer for integrated circuit structure and forming the same

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399415A (en) * 1993-02-05 1995-03-21 Cornell Research Foundation, Inc. Isolated tungsten microelectromechanical structures
US6030692A (en) 1996-09-13 2000-02-29 Netpco Incorporated Cover tape for formed tape packing system and process for making same
JP3025478B2 (ja) 1998-07-13 2000-03-27 松下電器産業株式会社 半導体装置およびその製造方法
US6136697A (en) 1998-07-27 2000-10-24 Acer Semiconductor Manufacturing Inc. Void-free and volcano-free tungsten-plug for ULSI interconnection
US6331481B1 (en) * 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6617226B1 (en) 1999-06-30 2003-09-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
KR20020029531A (ko) * 2000-10-13 2002-04-19 박종섭 다마신 금속게이트를 이용한 반도체소자의 제조방법
KR20020056285A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 게이트 제조방법
KR100399357B1 (ko) 2001-03-19 2003-09-26 삼성전자주식회사 코발트 실리사이드를 이용한 반도체 장치 및 그 형성 방법
US6663956B2 (en) 2001-04-26 2003-12-16 Mitsubishi Polyerster Film, Llc Antistatic coating and coated film
JP3654285B2 (ja) * 2002-10-04 2005-06-02 セイコーエプソン株式会社 半導体装置の製造方法
KR100567056B1 (ko) 2002-12-10 2006-04-04 주식회사 하이닉스반도체 에스램 소자의 제조방법
US6873057B2 (en) * 2003-02-14 2005-03-29 United Microelectrtonics Corp. Damascene interconnect with bi-layer capping film
US7173305B2 (en) * 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
JP4408653B2 (ja) 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US7033931B2 (en) 2003-08-01 2006-04-25 Agere Systems Inc. Temperature optimization of a physical vapor deposition process to prevent extrusion into openings
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US6921711B2 (en) 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
JP4447280B2 (ja) 2003-10-16 2010-04-07 リンテック株式会社 表面保護用シートおよび半導体ウエハの研削方法
US6982196B2 (en) 2003-11-04 2006-01-03 International Business Machines Corporation Oxidation method for altering a film structure and CMOS transistor structure formed therewith
US7026689B2 (en) * 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
TWI277210B (en) 2004-10-26 2007-03-21 Nanya Technology Corp FinFET transistor process
US7230296B2 (en) * 2004-11-08 2007-06-12 International Business Machines Corporation Self-aligned low-k gate cap
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
KR100585178B1 (ko) 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
KR100578818B1 (ko) 2005-02-24 2006-05-11 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 형성 방법
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
KR100653711B1 (ko) * 2005-11-14 2006-12-05 삼성전자주식회사 쇼트키 배리어 핀 펫 소자 및 그 제조방법
KR100841094B1 (ko) 2005-12-20 2008-06-25 주식회사 실트론 실리콘 웨이퍼 연마장치, 이에 이용되는 리테이닝어셈블리, 및 이를 이용한 실리콘 웨이퍼 평평도 보정방법
US7276417B2 (en) 2005-12-28 2007-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid STI stressor with selective re-oxidation anneal
KR101426572B1 (ko) 2006-03-15 2014-08-05 신에츠 폴리머 가부시키가이샤 보유 지그, 반도체 웨이퍼의 연삭 방법
KR20070122319A (ko) 2006-06-26 2007-12-31 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7517764B2 (en) 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US7968425B2 (en) 2006-07-14 2011-06-28 Micron Technology, Inc. Isolation regions
KR100818433B1 (ko) 2006-09-05 2008-04-01 동부일렉트로닉스 주식회사 완전 실리사이드 게이트 구조를 갖는 모스 트랜지스터 및그 제조 방법
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
JP2010508167A (ja) * 2006-10-31 2010-03-18 アイメック マイクロマシンデバイスの製造方法
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080290380A1 (en) 2007-05-24 2008-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with raised spacers
KR100903383B1 (ko) 2007-07-31 2009-06-23 주식회사 하이닉스반도체 일함수가 조절된 게이트전극을 구비한 트랜지스터 및 그를구비하는 메모리소자
TWI409311B (zh) 2007-08-10 2013-09-21 Dainippon Printing Co Ltd 硬塗膜
US7598147B2 (en) * 2007-09-24 2009-10-06 International Business Machines Corporation Method of forming CMOS with Si:C source/drain by laser melting and recrystallization
DE102008030854B4 (de) * 2008-06-30 2014-03-20 Advanced Micro Devices, Inc. MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
US7939863B2 (en) * 2008-08-07 2011-05-10 Texas Instruments Incorporated Area efficient 3D integration of low noise JFET and MOS in linear bipolar CMOS process
JP2010050215A (ja) 2008-08-20 2010-03-04 Toshiba Corp 半導体装置
US8153526B2 (en) 2008-08-20 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. High planarizing method for use in a gate last process
WO2010026995A1 (ja) 2008-09-05 2010-03-11 旭硝子株式会社 粘着体、粘着シートおよびその用途
DE102008059500B4 (de) 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
US8227867B2 (en) 2008-12-23 2012-07-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
JP5493096B2 (ja) * 2009-08-06 2014-05-14 富士通セミコンダクター株式会社 半導体装置の製造方法
US8304841B2 (en) 2009-09-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US8530971B2 (en) * 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US20110147851A1 (en) 2009-12-18 2011-06-23 Thomas Christopher D Method For Depositing Gate Metal For CMOS Devices
US8779530B2 (en) 2009-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
US8334184B2 (en) 2009-12-23 2012-12-18 Intel Corporation Polish to remove topography in sacrificial gate layer prior to gate patterning
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US20110147831A1 (en) 2009-12-23 2011-06-23 Steigerwald Joseph M Method for replacement metal gate fill
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
KR101675373B1 (ko) 2010-03-24 2016-11-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8492852B2 (en) 2010-06-02 2013-07-23 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US8278173B2 (en) * 2010-06-30 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating gate structures
KR101703096B1 (ko) * 2010-09-02 2017-02-07 삼성전자 주식회사 반도체 장치의 제조방법
US8466473B2 (en) 2010-12-06 2013-06-18 International Business Machines Corporation Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8466027B2 (en) * 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8557666B2 (en) * 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US9177867B2 (en) 2011-09-30 2015-11-03 Intel Corporation Tungsten gates for non-planar transistors
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
CN107039527A (zh) 2011-09-30 2017-08-11 英特尔公司 用于晶体管栅极的帽盖介电结构
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
WO2013085490A1 (en) 2011-12-06 2013-06-13 Intel Corporation Interlayer dielectric for non-planar transistors
US20130334713A1 (en) 2011-12-22 2013-12-19 Dingying D. Xu Electrostatic discharge compliant patterned adhesive tape

Also Published As

Publication number Publication date
US9202699B2 (en) 2015-12-01
EP2761664A1 (en) 2014-08-06
EP2761664A4 (en) 2015-06-17
WO2013048516A1 (en) 2013-04-04
TWI608613B (zh) 2017-12-11
TW201330255A (zh) 2013-07-16
KR20140049075A (ko) 2014-04-24
CN103828057A (zh) 2014-05-28
KR101735976B1 (ko) 2017-05-15
JP2014531770A (ja) 2014-11-27
TW201717392A (zh) 2017-05-16
TWI562359B (en) 2016-12-11
US9490347B2 (en) 2016-11-08
US20130248952A1 (en) 2013-09-26
CN107039527A (zh) 2017-08-11
US20160049499A1 (en) 2016-02-18

Similar Documents

Publication Publication Date Title
KR101735976B1 (ko) 트랜지스터 게이트용 캡핑 유전체 구조를 형성하는 방법
US10770591B2 (en) Source/drain contacts for non-planar transistors
TWI643345B (zh) 用於非平面電晶體之鎢閘極技術(四)
US9437436B2 (en) Replacement metal gate FinFET
KR20150089092A (ko) 비평면 트랜지스터들 및 그 제조 방법들
US9812546B2 (en) Tungsten gates for non-planar transistors
CN103828057B (zh) 用于晶体管栅极的帽盖介电结构

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant