KR20150073595A - 반도체 소자의 배선 구조물 및 그 형성 방법 - Google Patents

반도체 소자의 배선 구조물 및 그 형성 방법 Download PDF

Info

Publication number
KR20150073595A
KR20150073595A KR1020130161485A KR20130161485A KR20150073595A KR 20150073595 A KR20150073595 A KR 20150073595A KR 1020130161485 A KR1020130161485 A KR 1020130161485A KR 20130161485 A KR20130161485 A KR 20130161485A KR 20150073595 A KR20150073595 A KR 20150073595A
Authority
KR
South Korea
Prior art keywords
pattern
metal
patterns
film
forming
Prior art date
Application number
KR1020130161485A
Other languages
English (en)
Other versions
KR102146705B1 (ko
Inventor
백종민
라상호
유우경
안상훈
이내인
김기철
이전일
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020130161485A priority Critical patent/KR102146705B1/ko
Priority to US14/527,842 priority patent/US9281277B2/en
Publication of KR20150073595A publication Critical patent/KR20150073595A/ko
Priority to US15/000,282 priority patent/US20160133577A1/en
Application granted granted Critical
Publication of KR102146705B1 publication Critical patent/KR102146705B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

반도체 소자의 배선 구조물 및 그 형성 방법에서, 배선 구조물은 기판 상에 제1 절연막이 구비된다. 상기 제1 절연막 상에는, 금속 패턴들 및 금속 패턴들의 측벽 및 저면을 둘러싸는 베리어 금속 패턴들을 포함하는 배선 패턴들이 구비된다. 상기 배선 패턴들과 직접 접촉하면서 상기 배선 패턴들 상부면을 덮고, 하부막에 따라 성막 특성이 다른 물질을 포함하는 보호막 패턴들이 구비된다. 상기 배선 패턴들 사이에 고립된 에어 갭을 생성하면서 상기 보호막 패턴 상에는 제2 절연막이 구비된다. 상기 반도체 소자의 배선 구조물은 에어 갭이 구비됨으로써 기생 커패시턴스가 감소되고, 금속 패턴의 손상이 감소되어 저저항을 갖는다.

Description

반도체 소자의 배선 구조물 및 그 형성 방법{WIRING STRUCTURE IN A SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME}
본 발명은 반도체 소자의 배선 구조물 및 그 형성 방법에 관한 것이다. 보다 상세하게, 본 발명은 고집적화된 반도체 소자에 적합한 미세 금속 배선 구조물 및 그 형성 방법에 관한 것이다.
반도체 소자의 고집적화에 따라 금속 배선들 간의 이격 거리가 가까워지면서 기생 커패시턴스가 높아지고 있다. 따라서, 상기 기생 커패시턴스가 감소되고 저저항을 갖는 금속 배선들이 요구되고 있다.
본 발명의 목적은 기생 커패시턴스가 감소되고 저저항을 갖는 반도체 소자의 금속 배선 구조물을 제공하는데 있다.
본 발명의 목적은 상기한 금속 배선 구조물의 형성 방법을 제공하는데 있다.
상기한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물은, 기판 상에 제1 절연막이 구비된다. 상기 제1 절연막 상에는 금속 패턴들 및 금속 패턴들의 측벽 및 저면을 둘러싸는 베리어 금속 패턴들을 포함하는 배선 패턴들이 구비된다. 상기 배선 패턴들과 직접 접촉하면서 상기 배선 패턴들 상부면을 덮고, 하부막에 따라 성막 특성이 다른 물질을 포함하는 보호막 패턴들이 구비된다. 상기 배선 패턴들 사이에 고립된 에어 갭을 생성하면서 상기 보호막 패턴 상에는 제2 절연막이 구비된다.
본 발명의 일 실시예에서, 상기 보호막 패턴은 금속 또는 금속 질화물을 포함할 수 있다.
본 발명의 일 실시예에서, 상기 보호막 패턴은 알루미늄 질화물 또는 코발트를 포함하는 금속 물질일 수 있다.
상기한 목적을 달성하기 위한 본 발명의 다른 실시예에 따른 반도체 소자의 금속 배선 구조물은, 제1 영역의 기판 상에는 개구부들을 포함하는 제1 유전막이 구비된다. 제2 영역의 기판 상에는 상기 제1 유전막보다 낮은 상부면을 갖는 제2 유전막이 구비된다. 상기 제1 유전막의 개구부들 내부에, 제1 금속 패턴 및 제1 금속 패턴의 측벽 및 저면을 둘러싸는 제1 베리어 금속 패턴을 포함하고, 제1 간격으로 이격되면서 배치되는 제1 배선 패턴들이 구비된다. 상기 제2 유전막 상에, 제2 금속 패턴들 및 제2 금속 패턴들의 측벽 및 저면을 둘러싸는 제2 베리어 금속 패턴들을 포함하고, 상기 제1 간격보다 좁은 제2 간격으로 이격되면서 배치되는 제2 배선 패턴들이 구비된다. 상기 제1 배선 패턴들과 직접 접촉하면서 상기 제1 배선 패턴들 상부면을 덮고, 하부막에 따라 성막 특성이 다른 물질을 포함하는 제1 보호막 패턴들이 구비된다. 상기 제2 배선 패턴들과 직접 접촉하면서 상기 제2 배선 패턴들 상부면을 덮고, 상기 제1 보호막 패턴과 동일한 물질을 포함하는 제2 보호막 패턴들이 구비된다. 상기 제2 배선 패턴들 사이에만 고립된 에어 갭을 생성하면서, 상기 제1 유전막, 제1 보호막 패턴 및 제2 보호막 패턴 상에 구비되는 절연막이 구비된다.
본 발명의 일 실시예에서, 상기 제1 및 제2 보호막 패턴은 금속 질화물을 포함할 수 있다. 또한, 상기 제1 유전막의 상부면에는 금속 산 질화물을 포함하는 제1 희생막 패턴이 더 포함될 수 있다.
상기 제1 및 제2 보호막 패턴은 알루미늄 질화물을 포함하고, 상기 제1 희생막 패턴은 알루미늄 산 질화물을 포함할 수 있다.
상기 제1 희생막 패턴 및 제1 보호막 패턴 상부면을 덮는 절연막 패턴이 더 구비될 수 있다.
상기한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물의 형성 방법으로, 기판 상에, 개구부들을 포함하는 제1 절연막을 형성한다. 상기 개구부들의 측벽 및 저면에 베리어 금속막을 형성한다. 상기 베리어 금속막 상에 상기 개구부들을 채우는 금속막을 형성한다. 상기 제1 절연막의 상부면이 노출되도록 상기 금속막을 평탄화하여, 상부 표면에 제1 산화물이 생성된 금속 패턴들 및 상부 표면에 제2 산화물이 생성된 베리어 금속 패턴들을 각각 형성한다. 상기 제1 및 제2 산화물에 환원 가스를 유입하면서 UV를 노출하는 UV 전처리 공정을 통해 상기 제1 및 제2 산화물을 제거하여, 상기 베리어 금속 패턴 및 금속 패턴을 포함하는 배선 패턴들을 형성한다. 상기 배선 패턴들과 직접 접촉하면서 상기 배선 패턴들 상부면을 덮고, 하부막에 따라 성막 특성이 다른 물질을 포함하는 보호막 패턴들을 형성한다. 상기 제1 절연막을 부분적으로 제거하여 상기 배선 패턴들 양 측에 리세스부를 형성한다. 또한, 상기 배선 패턴들 사이에 고립된 에어 갭을 생성하면서, 상기 보호막 패턴 상에 제2 절연막을 형성한다.
본 발명의 일 실시예에서, 상기 UV 전처리 공정 및 보호막 패턴들을 형성하는 공정은 진공 상태가 계속 유지된 상태에서 수행될 수 있다.
본 발명의 일 실시예에서, 상기 UV 전처리 공정시에 사용되는 상기 환원 가스는 H2 와 NH3 중 적어도 하나를 포함할 수 있다.
본 발명의 일 실시예에서, 상기 UV 전처리 공정은 250 내지 400℃의 온도에서 수행할 수 있다.
본 발명의 일 실시예에서, 상기 UV 전처리 공정을 수행한 이 후에 NH3를 이용한 플라즈마 처리 공정을 더 수행할 수 있다.
상기 UV 전처리 공정과 NH3 플라즈마 전처리 공정은 진공 상태를 유지하면서 서로 다른 진공 챔버에서 진행할 수 있다. 상기 NH3 플라즈마 전처리 공정은 250 내지 500℃의 온도에서 수행할 수 있다.
본 발명의 일 실시예에서, 상기 베리어 금속막은 탄탈륨(Ta), 탄탈륨 질화막(TaN), TaC, TaCN, 티타늄(Ti), 티타늄 질화막(TiN), WN으로 이루어지는 군에서 선택된 적어도 하나를 포함할 수 있다.
본 발명의 일 실시예에서, 상기 금속막은 구리 또는 구리를 포함하는 재료로 형성될 수 있다.
상기 보호막 패턴을 형성하는 증착 공정에서, 상기 보호막 패턴은 금속 질화물을 포함하고, 상기 제1 절연막 상에는 금속 산 질화물을 포함하는 제1 희생막 패턴을 함께 증착할 수 있다.
상기 보호막 패턴을 형성하는 공정에서, 알루미늄 질화물 전구체를 이용하여 화학 기상 증착법을 수행하여, 알루미늄 질화물을 포함하는 보호막 패턴 및 알루미늄 산 질화물을 포함하는 제1 희생막 패턴을 형성할 수 있다.
상기 제1 절연막을 부분적으로 제거하기 이 전에 상기 제1 희생막 패턴을 선택적으로 제거할 수 있다.
상기 보호막 패턴을 형성하는 공정에서, 상기 보호막 패턴은 금속을 사용하여 형성하고, 상기 베리어 금속 패턴 및 금속 패턴 상에만 선택적으로 상기 금속이 증착되도록 할 수 있다.
상기 보호막 패턴은 Co, CoSi2, CoWP, CoPRu로 이루어지는 군에서 선택된 적어도 하나를 포함할 수 있다.
본 발명의 일 실시예에서, 상기 제2 절연막은 상기 배선 패턴들 및 제1 절연막 상에는 제1 두께를 갖고 상기 보호막 패턴 상에는 상기 제1 두께보다 더 두꺼운 제2 두께를 갖도록 컨포멀하지 않은 증착 특성을 갖는 절연 물질로 형성할 수 있다.
본 발명의 일 실시예에서, 상기 리세스부를 형성한 이 후에, 상기 리세스부를 채우는 제2 희생막 패턴을 형성한다. 상기 제2 희생막 패턴 및 제1 보호막 패턴 상에 다공성의 절연막을 형성한다. 또한, 상기 다공성의 절연막에 포함된 기공을 통해 상기 제2 희생막 패턴을 선택적으로 제거하는 단계를 더 포함할 수 있다.
상기한 목적을 달성하기 위한 본 발명의 다른 실시예에 따른 반도체 소자의 금속 배선 구조물의 형성 방법으로, 제1 및 제2 영역이 구분된 기판 상에, 제1 및 제2 개구부들을 포함하는 예비 유전막을 형성한다. 상기 제1 개구부들 내부에는 제1 베리어 금속 패턴 및 제1 금속 패턴을 포함하고 제1 간격으로 서로 이격되는 제1 배선 패턴들과, 상기 제2 개구부들 내부에 제2 베리어 금속 패턴 및 제2 금속 패턴을 포함하고 상기 제1 간격보다 좁은 제2 간격으로 서로 이격되는 제2 배선 패턴들을 형성하고, 상기 제1 및 제2 배선 패턴들 상부면에는 자연 산화물이 생성된다. 상기 자연 산화물이 생성된 제1 및 제2 금속 패턴에 환원 가스를 유입하면서 UV를 노출하는 UV 전처리 공정을 통해 상기 자연 산화물을 제거한다. 상기 제1 및 제2 배선 패턴들과 직접 접촉하면서 상기 제1 및 제2 배선 패턴들 상부면을 각각 덮고, 하부막에 따라 성막 특성이 물질을 포함하는 제1 및 제2 보호막 패턴들을 형성한다. 상기 제2 영역에 위치하는 상기 예비 유전막을 부분적으로 제거하여 상기 제2 배선 패턴들 양측에 리세스부를 형성하여, 상기 제1 및 제2 영역에 각각 제1 유전막 및 제2 유전막을 형성한다. 또한, 상기 제2 배선 패턴들 사이에만 고립된 에어 갭을 생성하면서, 상기 제1 유전막, 제1 보호막 패턴 및 제2 보호막 패턴 상에 절연막을 형성한다.
상기 UV 전처리 공정시에 사용되는 상기 환원 가스는 H2 와 NH3 중 적어도 하나를 포함할 수 있다.
본 발명에 의하면, 고집적화되면서 고성능을 요구하는 반도체 소자에서 에어 갭을 포함하면서 저저항을 갖는 금속 배선을 제공할 수 있다.
도 1a 및 도 1b는 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도 및 사시도이다.
도 2 내지 도 10은 도 1a 및 도 1b에 도시된 금속 배선 구조물의 형성 방법을 나타내는 단면도이다.
도 11은 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도이다.
도 12 내지 도 17은 도 11에 도시된 반도체 소자의 금속 배선 구조물을 형성하는 방법을 나타내는 단면도이다.
도 18은 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도이다.
도 19 및 도 20은 도 18에 도시된 반도체 소자의 금속 배선 구조물 제조 방법을 나타내는 단면도이다.
도 21a 및 도 21b는 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도 및 사시도이다.
도 22 내지 도 24는 도 21a 및 도 21b에 도시된 반도체 소자의 금속 배선 구조물의 제조 방법을 나타내는 단면도들이다.
도 25는 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도이다.
도 26 내지 도 29는 도 25에 도시된 반도체 소자의 금속 배선 구조물 제조 방법을 나타내는 단면도들이다.
도 30은 샘플 1 및 비교 샘플 1의 막에서 산소 성분에 대한 SIMS 프로파일을 나타낸다.
도 31은 샘플 2 및 비교 샘플 2의 막에서 각 비아 콘택 저항에 따른 수율을 나타낸다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하고자 한다.
본 발명의 각 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다.
본 발명에서, 제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다.
본 발명에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
본 발명에 있어서, 각 층(막), 영역, 전극, 패턴 또는 구조물들이 대상체, 기판, 각 층(막), 영역, 전극 또는 패턴들의 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 전극, 패턴 또는 구조물들이 직접 기판, 각 층(막), 영역, 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 전극, 다른 패턴 또는 다른 구조물들이 대상체나 기판 상에 추가적으로 형성될 수 있다.
본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 안된다.
즉, 본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
도 1a 및 도 1b는 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도 및 사시도이다.
도 1a 및 도 1b를 참조하면, 반도체 기판(10)이 마련된다. 상기 반도체 기판(10) 상에는 FEOL 공정을 통해 형성된 하부 소자들이 구비될 수 있다. 또한, 상기 하부 소자들을 덮는 하부 층간 절연막들이 더 구비될 수 있다.
상기 기판(10) 상에 제1 절연막(12a)이 구비될 수 있다. 상기 제1 절연막(12a)은 약 4.0정도의 유전 상수를 갖는 실리콘 산화물(SiO2)보다 낮은 유전 상수를 갖는 물질을 포함할 수 있다. 보다 바람직하게는 3.5 미만의 유전 상수를 갖는 물질을 포함할 수 있다. 그러나, 상기 제1 절연막(12a)은 이에 한정되지 않으며, 4.0정도의 유전 상수를 갖는 일반적인 실리콘 산화물(SiO2)을 포함할 수도 있다.
상기 제1 절연막(12a) 상에는 베리어 금속 패턴(16a) 및 금속 패턴(18a)을 포함하는 복수의 배선 패턴(20)이 구비된다. 상기 금속 패턴(18a)은 제1 방향으로 연장되는 라인 형상을 갖고 서로 이격되면서 나란하게 배치될 수 있다. 상기 베리어 금속 패턴(16a)은 상기 금속 패턴(18a)의 측벽 및 저면을 따라 구비되어 상기 금속 패턴(18a)의 측벽 및 저면을 둘러싸는 형상을 가질 수 있다.
본 발명과 다른 실시예로, 상기 베리어 금속 패턴 및 금속 패턴은 콘택 플러그의 형태를 가질 수도 있다.
상기 금속 패턴(18a)은 구리, 텅스텐 또는 알루미늄을 포함할 수 있다. 일 실시예에서, 상기 금속 패턴(18a)은 저저항을 갖고, 고집적화된 소자에 사용하기에 적합한 구리 또는 구리를 포함하는 재료일 수 있다.
상기 베리어 금속 패턴(16a)은 탄탈륨 함유막, 티타늄 함유막 또는 텅스텐 함유막을 포함할 수 있다. 예를들어, 상기 베리어 금속 패턴(16a)은 탄탈륨(Ta), 탄탈륨 질화막(TaN), TaC, TaCN, 티타늄(Ti), 티타늄 질화막(TiN), WN으로 이루어지는 군에서 선택된 적어도 하나를 포함할 수 있다. 일 실시예에서, 상기 베리어 금속 패턴(16a)은 탄탈륨 질화막 및 탄탈륨이 적층되는 형상을 가질 수 있다. 상기 금속 패턴(18a)이 구리 또는 구리를 포함하는 재료인 경우, 상기 베리어 금속 패턴(16a)은 탄탈륨 함유막으로 형성되는 것이 구리 확산 방지를 위하여 바람직하다.
상기 베리어 금속 패턴(16a) 및 금속 패턴(18a)의 상부면을 덮는 보호막 패턴(24a)이 구비된다. 상기 보호막 패턴(24a)은 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a) 이외의 다른 부위에는 구비되지 않는다.
상기 보호막 패턴(24a)은 금속 또는 금속 질화물을 포함할 수 있다. 상기 보호막 패턴(24a)은 하지막에 따라 증착 특성이 다른 물질을 포함할 수 있다. 상기 보호막 패턴(24a)을 이루는 물질은 산소의 함량이 매우 낮거나 산소가 거의 포함되지 않을 수 있다. 일 실시예에서, 상기 보호막 패턴(24a)은 알루미늄 질화물을 포함할 수 있다. 다른 실시예에서, 상기 보호막 패턴(24a)은 코발트를 포함하는 물질일 수 있다. 상기 코발트를 포함하는 물질의 예로는 Co, CoSi2, CoWP, CoPRu 등을 들 수 있다.
상기 보호막 패턴들(24a)은 식각 공정 시에 금속 패턴들(18a)을 보호하는 역할을 한다. 일 예로, 상기 보호막 패턴들(24a)은 습식 식각액이 상기 금속 패턴들(18a)로 침투하는 것을 억제하는 역할을 한다. 상기 보호막 패턴들(24a)이 10Å보다 얇으면 상기 금속 패턴들(18a)을 보호하기가 어려우며, 상기 보호막 패턴들(24a)이 100Å보다 두꺼우면 이 후의 반도체 소자 제조 공정이 용이하지 않을 수 있다. 따라서, 상기 보호막 패턴들(24a)은 10 내지 100Å의 두께를 가질 수 있으며, 30 내지 50Å의 두께를 갖는 것이 더 바람직하다.
상기 보호막 패턴들(24a) 상부면 및 상기 배선 패턴들(20) 사이의 에어 갭의 윗부분을 덮는 제2 절연막(26)이 구비된다. 따라서, 상기 배선 패턴들(20)사이 및 상기 제2 절연막(26) 아래에는 고립된 형상의 에어 갭(28)이 생성된다. 상기 배선 패턴들(20)이 라인 형상을 갖기 때문에, 상기 배선 패턴들(20) 사이의 에어 갭은 제1 방향으로 연장되는 에어 터널 형상을 가질 수 있다. 상기 제2 절연막(26)은 상기 배선 패턴(20)의 측벽 및 상기 제1 절연막(12a)의 저면 부위에도 일부 증착되어 있을 수 있다.
상기 제2 절연막(26)은 컨포멀하지 않은 증착 특성을 갖는 절연 물질을 포함할 수 있다. 상기 제2 절연막(26)은 실리콘 산화물(SiO2)보다 저유전율을 가지면서 높은 탄성을 갖는 물질로 형성하는 것이 바람직하다. 일 예로, 상기 제2 절연막(26)은 SiCN을 포함할 수 있다.
상기 제2 절연막(26) 상에는 금속 층간 절연막(30, IMD)이 구비될 수 있다.
상기 배선 패턴들(20) 사이에는 저유전율을 갖는 공기가 채워진다. 구체적으로, 상기 배선 패턴들(20) 사이는 약 2미만의 낮은 유전 상수를 가질 수 있다. 그러므로, 상기 배선 패턴들(20)은 기생 커패시턴스가 거의 발생되지 않을 수 있다. 또한, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a)의 상부면에 금속 또는 금속 질화물로 이루어지는 보호막 패턴(24a)이 구비된다. 상기 보호막 패턴이 구비됨으로써, 상기 에어 갭을 형성하기 위한 식각 공정에서 상기 금속 패턴이 부식(corrosion)되는 등의 문제가 감소된다. 따라서, 상기 배선 패턴은 저저항을 가질 수 있다.
도 2 내지 도 10은 도 1a 및 도 1b에 도시된 금속 배선 구조물의 형성 방법을 나타내는 단면도이다.
도 2를 참조하면, 반도체 기판(10)이 마련된다. 상기 반도체 기판(10)에 FEOL 공정을 통해 트랜지스터들과 같은 하부 소자들을 형성할 수 있다. 또한, 상기 하부 소자들을 덮는 하부 층간 절연막을 형성할 수 있다.
상기 기판(10) 상에 제1 예비 절연막(12)을 형성한다. 상기 제1 예비 절연막(12)은 약 4.0정도의 유전 상수를 갖는 실리콘 산화물보다 낮은 유전 상수를 갖는 물질을 포함할 수 있다. 보다 바람직하게는 3.5 미만의 유전상수를 갖는 물질을 포함할 수 있다. 다른 예로, 상기 제1 예비 절연막(12)은 약 4.0정도의 유전 상수를 갖는 일반적인 실리콘 산화물일 수도 있다.
상기 제1 예비 절연막(12)은 예를들어, 실리콘, 탄소, 산소 및 수소 원소로 구성되는 SiCHO 의 형태일 수 있다. 또는 상기 제1 예비 절연막(12)은 탄소 도핑된 실리콘 산화물(SiOC)의 형태일 수 있다. 상기 제1 예비 절연막은 화학 기상 증착 공정 또는 플라즈마 강화된 화학 기상증착 공정을 이용하여 증착할 수 있다.
상기 제1 예비 절연막(12)의 일부분을 식각하여 개구부들(14)을 형성한다. 상기 개구부들(14)은 배선 패턴을 형성하기 위한 몰드 패턴으로 제공될 수 있다. 따라서, 상기 개구부들(14)은 형성하고자하는 배선 패턴의 폭 및 높이에 따라 그 너비 및 깊이가 결정될 수 있다.
일 예로, 상기 개구부들(14)은 제1 방향으로 연장되는 트렌치 형상을 갖고 서로 이격되면서 나란하게 배치될 수 있다. 상기 개구부들(14)은 사진 식각 공정을 통해 형성할 수 있다. 상기 개구부들(14)은 상부폭이 하부폭보다 넓게 되도록 측벽 경사를 가질 수 있다. 또는, 개구부들(14)은 수직한 측벽 경사를 가질 수도 있다. 이하에서, 상기 개구부들(14)은 트렌치 형상을 갖는 것으로 설명하지만, 상기 개구부들(14)은 각 트렌치 아래로 콘택홀들이 더 포함되는 형상을 가질 수도 있다. 이와는 다른 예로, 상기 개구부들은 콘택홀의 형상을 가질 수도 있다.
도 3을 참조하면, 상기 개구부들(14) 내부 표면 및 상기 제1 예비 절연막(12)의 상부면을 따라 베리어 금속막(16)을 형성한다.
상기 베리어 금속막(16)은 탄탈륨(Ta), 탄탈륨 질화막(TaN), TaC, TaCN, 티타늄(Ti), 티타늄 질화막(TiN), WN으로 이루어지는 군에서 선택된 적어도 하나를 포함할 수 있다. 일 실시예에서, 상기 베리어 금속막(16)은 탄탈륨 질화막/탄탈륨막이 적층되는 형상을 가질 수 있다. 상기 베리어 금속막(16)은 금속막에 포함된 금속들이 인접하는 제1 예비 절연막(12)으로 확산되는 것을 방지하기 위하여 제공된다. 상기 탄탈륨 질화막/탄탈륨막이 적층된 구조의 베리어 금속막은 구리의 확산 방지에 효과적이다.
상기 베리어 금속막(16) 상에 금속막(18)을 형성한다. 상기 금속막(18)은 저저항을 갖는 물질인 것이 바람직하다. 상기 금속막(18)은 구리, 텅스텐, 알루미늄 등을 포함할 수 있다. 일 실시예에서, 상기 금속막(18)은 구리 또는 구리를 포함하는 막인 것으로 설명한다. 상기 구리 또는 구리를 포함하는 막은 전기화학 도금법(Electro-chemical plating) 또는 전해 도금법(Electro plating) 등으로 형성할 수 있다. 상기 금속막(18)은 상기 개구부들(14) 내부를 충분히 충전하도록 과도 증착할 수 있다.
도 4를 참조하면, 상기 제1 예비 절연막(12) 상에 형성되어 있는 베리어 금속막(16) 및 금속막(18)을 화학기계적 연마 공정을 통해 평탄화시켜 베리어 금속 패턴들(16a) 및 금속 패턴들(18a)을 각각 형성한다. 이하에서는, 상기 금속 패턴(18a) 및 베리어 금속 패턴(16a)을 포함하는 구조물을 배선 패턴(20)이라 하면서 설명한다.
상기 공정을 수행하면, 상기 베리어 금속 패턴(16a), 금속 패턴(18a) 및 제1 예비 절연막(12)이 외부에 노출된다. 외부에 노출된 상기 베리어 금속 패턴들(16a) 및 금속 패턴들(18a)은 표면 부위가 자연 산화될 수 있다. 따라서, 상기 금속 패턴(18a)의 상부면에는 제1 산화물(22a)이 생성되고, 상기 베리어 금속 패턴들(16a) 상부면에는 제2 산화물(22b)이 생성될 수 있다. 상기 제1 및 제2 산화물(22a, 22b)은 하부의 금속 패턴(18a) 및 베리어 금속 패턴(16a)으로 사용된 물질에 따라 서로 다른 물질이 될 수 있다. 일 실시예에서, 상기 제1 산화물(22a)은 구리 산화물(CuOx)일 수 있다. 상기 제2 산화물(22b)은 탄탈륨 산화물(TaOx)일 수 있다.
도 5를 참조하면, 상기 제1 및 제2 산화물(22a, 22b)은 후속의 보호막 패턴 형성 시에 불량의 원인이 되므로, 상기 제1 및 제2 산화물(22a, 22b)은 완전하게 제거될 필요가 있다. 상기 제거 공정은 진공 상태의 챔버에서 수행될 수 있다.
먼저, 상기 제1 및 제2 산화물(22a, 22b)을 제거하기 위하여, 상기 구조물에 UV를 노출하는 UV 전처리 공정을 수행한다. 상기 UV 전처리 공정을 수행할 때, 진공 챔버 내에 상기 제1 및 제2 산화물(22a, 22b)을 제거하기 위한 환원 가스를 플로우할 수 있다. 상기 환원 가스는 수소를 포함하는 가스일 수 있다. 일 예로, 상기 환원 가스는 H2 및 NH3 중 적어도 하나일 수 있다.
상기 UV 전처리는 복수의 UV 노출을 포함할 수 있고, 각 UV 노출은 동일하거나 상이한 강도, 파워, 파워 밀도, 노출 시간, 파장 범위를 가질 수 있다.
상기 UV 전처리는, 하나 이상의 UV 램프, 하나 이상의 UV LED(Light-Emitting Diode), 하나 이상의 UV 레이저, 또는 이들 중 2가지 이상의 조합으로부터의 UV 방사선에 노출시키는 단계를 포함할 수 있다. 상기 UV 방사선은 연속형 또는 펄스형일 수 있다. 상기 UV 방사선은 광대역 또는 협대역일 수 있다. 상기 UV 방사선은 파장 범위가 약 100㎚ 내지 약 600 ㎚인 UV 발광을 포함할 수 있다. 상기 UV 전처리 공정은 250 내지 400℃의 온도에서 수행될 수 있다.
또한, 상기 진공 챔버에 유입되는 H2 및 NH3에 의해, 제1 및 제2 산화물(22a, 22b)인 상기 구리 산화물 및 탄탈륨 산화물은 각 산화물에 포함되는 산소가 제거되어 각각 구리 및 탄탈륨으로 환원될 수 있다.
상기 UV 전처리는 상기 탄탈륨 산화물(TaOx) 및 구리 산화물(CuOx)의 환원 반응이 일어나도록 에너지를 가해주는 역할을 할 수 있다.
상기 구리 산화물(CuOx)은 상기 구리 산화물에 포함된 산소가 수소와 결합하여 제거되는 환원 반응의 활성화 에너지가 0보다 작기 때문에 환원 반응이 빠르게 일어날 수 있다. 그러나, 상기 탄탈륨 산화물의 경우, 상기 구리 산화물에 포함된 산소가 수소와 결합하여 제거되는 환원 반응의 활성화 에너지가 0보다 크기 때문에 상기 환원 반응 속도가 낮고 환원 반응이 잘 일어나지 않는다. 따라서, 상기 탄탈륨 산화물의 제거가 용이하지 않다.
그러나, 상기 UV 전처리를 수행하면 상기 환원 반응이 빠르게 일어날 수 있도록 충분한 에너지가 가해지기 때문에, 상기 탄탈륨 산화물에 포함된 산소를 완전하게 제거할 수 있다. 이와같이, 상기 공정에 의해 상기 제1 및 제2 산화물(22a, 22b)이 제거될 수 있다.
도 6을 참조하면, 상기 UV 전처리 공정을 수행한 다음에, 진공 분위기를 유지한 상태로 NH3 플라즈마 처리 공정을 수행한다. 상기 UV 전처리 공정과 NH3 플라즈마 처리 공정은 서로 다른 진공 챔버에서 진행하는 것이 바람직하다. 상기 NH3 플라즈마 처리 공정은 250 내지 500℃의 온도에서 수행될 수 있다.
상기 NH3 플라즈마 전처리 공정은 상기 제1 및 제2 산화물(22a, 22b)을 제거하기 위하여 추가적으로 수행될 수 있다. 그러나, 상기 제1 및 제2 산화물(22a, 22b)은 상기 UV 전처리 공정으로 대부분 제거되기 때문에, 상기 NH3 플라즈마 처리 공정은 생략할 수도 있다.
상기 제1 및 제2 산화물(22a, 22b)이 일부 제거되지 않고 남아있는 경우, 상기 NH3 플라즈마 처리 공정에 의해 환원 반응이 일어나게 된다. 따라서, 상기 남아있는 제1 및 제2 산화물(22a, 22b)이 모두 제거될 수 있다.
도 5 및 도 6에 설명한 공정을 통해, 상기 제1 및 제2 산화물(22a, 22b)이 완전하게 제거될 수 있다. 상기 설명한 것과 다른 실시예로, 상기 제1 및 제2 산화물(22a, 22b)을 제거하기 위하여 상기 NH3 플라즈마 처리 공정을 먼저 수행한 이 후에, 상기 UV 전처리 공정을 수행할 수도 있다.
도 7을 참조하면, 진공 분위기를 계속 유지한 상태에서 1회의 증착 공정을 수행하여, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a) 상에는 보호막 패턴(24a)을 형성하고, 상기 제1 예비 절연막(12) 상에는 희생막 패턴(24b)을 형성한다.
상기 증착 공정은 하지막에 따라 다른 물질막이 형성되도록 할 수 있다. 즉, 하지막에 산소를 포함하는 경우에는 산화 공정이 수반되어 산화물이 형성되고, 하지막에 산소를 포함하지 않는 경우에는 산화물이 형성되지 않을 수 있다. 상기 보호막 패턴(24a)은 금속 또는 금속 질화물을 포함할 수 있다. 또한, 상기 희생막 패턴(24b) 금속 산화물 또는 금속 산 질화물을 포함할 수 있다. 상기 보호막 패턴(24a) 및 희생막 패턴(24b)은 습식 식각 공정을 통해 선택적인 식각이 가능한 물질로 형성될 수 있다. 상기 제1 보호막 패턴은 10 내지 100Å의 두께를 가질 수 있으며, 30 내지 50Å의 두께를 갖는 것이 더 바람직하다.
예를들어, 알루미늄 질화물 전구체를 이용하여 화학 기상 증착법에 의해 상기 보호막 패턴(24a) 및 희생막 패턴(24b)을 형성할 수 있다. 이 때, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a) 상에는 산소가 포함되지 않기 때문에, 알루미늄 질화물로 이루어지는 보호막 패턴(24a)이 형성될 수 있다. 상기 알루미늄 질화물 내에는 산소의 함량이 매우 낮거나 산소 원소가 거의 없을 수 있다. 반면에, 산소를 포함하고 있는 제1 예비 절연막(12) 상에는 알루미늄 산 질화물로 이루어지는 희생막 패턴(24b)이 형성될 수 있다.
그런데, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a) 상에 제1 및 제2 산화물(22a, 22b)이 남아있는 경우에는, 상기 제1 및 제2 산화물 (22a, 22b)내의 산소에 의해 알루미늄 질화물이 형성되지 않고 알루미늄 산 질화물이 형성될 수 있다. 상기 알루미늄 산 질화물은 후속 공정에서 쉽게 제거되어 상기 금속 패턴(18a)을 보호할 수 없기 때문에, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a) 상에 알루미늄 산 질화물이 형성되지 않도록 하여야 한다. 이를 위하여, 이 전의 공정에서 상기 제1 및 제2 산화물(22a, 22b)이 완전하게 제거되어야 한다.
본 실시예의 경우, 상기 제1 및 제2 산화물(22a, 22b)이 완전하게 제거되기 때문에, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a) 상부면을 덮는 보호막 패턴을 형성할 수 있다. 따라서, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a)의 일부 영역에 상기 보호막 패턴(24a)이 형성되지 않아서 발생되는 불량들을 감소시킬 수 있다.
도 8을 참조하면, 상기 희생막 패턴(24b)을 선택적으로 제거한다. 상기 제거 공정은 습식 식각 공정 또는 건식 식각 공정일 수 있다. 상기 금속 패턴에 플라즈마 데미지가 발생하는 것을 방지하기 위하여, 습식 식각을 통해 상기 희생막 패턴(24b)을 제거하는 것이 바람직하다. 일 예로, 희석된 불산 용액(Deluted HF)을 이용하여 습식 식각하면, 상기 보호막 패턴(24a)은 식각되지 않으면서 상기 희생막 패턴(24b)을 완전하게 식각할 수 있다.
도 9를 참조하면, 상기 제1 예비 절연막(12)을 일부 두께만큼 제거하여 제1 절연막(12a)을 형성한다. 즉, 상기 배선 패턴들(20) 사이의 상기 제1 예비 절연막(12)이 제거되어 리세스부(25)가 생성된다. 상기 제거 공정은 습식 식각 공정 또는 건식 식각 공정일 수 있다. 상기 금속 패턴(18a)에 플라즈마 데미지가 발생하는 것을 방지하기 위하여, 습식 식각을 통해 제1 예비 절연막(12)을 일부 두께만큼 제거하는 것이 더 바람직하다. 일 예로, 희석된 불산 용액을 식각액으로 사용할 수 있다. 이와같이, 상기 희석된 불산 이용하는 경우, 상기 희생막 패턴(24b) 및 제1 예비 절연막(12)을 함께 제거할 수 있다.
상기 리세스부(25)는 에어 갭(Air Gap)이 생성되는 부위가 된다. 상기 리세스부(25)의 저면이 상기 배선 패턴(20)의 높이의 중심부보다 높게 형성되면 에어 갭 생성 부위가 감소되고, 상기 리세스부(25)의 저면이 상기 배선 패턴(20)의 저면부보다 더 낮으면 최종 배선 구조물이 안정한 구조를 갖지 못한다. 그러므로, 상기 리세스부(25)의 저면은 상기 배선 패턴(20)의 높이의 중심과 상기 배선 패턴(20)의 저면부 사이에 위치하도록 할 수 있다. 또는, 상기 리세스부(25) 저면은 상기 배선 패턴(20)의 저면과 인접하는 부위에 위치할 수 있다. 따라서, 상기 식각 공정 후에 남아있는 제1 절연막(12a) 상에는 갭을 가지면서 이격되어 있는 상기 배선 패턴들(20)이 구비된다.
상기 금속 패턴(18a)은 상기 보호막 패턴(24a) 및 베리어 금속 패턴(16a)에 의해 표면 부위가 감싸져 있어 외부에 노출되지 않는다. 따라서, 상기 제1 예비 절연막의 일부를 제거하는 동안, 상기 금속 패턴(18a)의 상부는 보호막 패턴(24a)에 의해 보호되고, 상기 금속 패턴(18a)의 측벽은 베리어 금속 패턴(16a)에 의해 보호된다. 따라서, 상기 금속 패턴(18a)이 상기 식각액에 의해 부식되거나 특성이 변화되는 등의 문제가 감소된다.
도 10을 참조하면, 상기 배선 패턴들(20) 사이에 에어 갭(28)이 형성되도록 하면서 제2 절연막(26)을 형성한다. 상기 제2 절연막(26)은 상기 배선 패턴들(20) 사이의 리세스부(25) 위를 덮는 형상을 갖는다. 상기 제2 절연막(26)을 형성함으로써, 상기 배선 패턴들(20) 사이에는 고립된 형상의 에어 갭(28)이 생성될 수 있다. 상기 에어 갭(28)은 에어 터널 형상을 가질 수 있다.
상기 제2 절연막(26)은 컨포멀하지 않은 증착(Non-Conformal Deposition) 특성을 갖는 공정으로 형성될 수 있다. 즉, 상기 제2 절연막(26)은 보호막 패턴(24a) 상부면에서는 상대적으로 두껍게 형성되고, 상기 배선 패턴(20)의 측벽 및 리세스부(25) 저면에서는 상대적으로 얇게 형성되도록 할 수 있다. 이 경우, 상기 배선 패턴들(20) 사이에는 더 큰 사이즈의 에어 갭(28)이 생성될 수 있다.
상기 제2 절연막(26)은 실리콘 산화물(SiO2)보다 저유전율을 가지면서 높은 탄성을 갖는 물질로 형성하는 것이 바람직하다. 일 예로, 상기 제2 절연막(26)은 SiCN을 포함할 수 있다. 이 후, 상기 제2 절연막(26) 상에 금속 층간 절연막(30, IMD)을 더 형성할 수 있다.
상기 공정에 의하면, 상기 배선 패턴들 사이에 에어 갭이 생성됨으로써 기생 커패시턴스가 감소될 수 있다. 또한, 상기 에어 갭을 생성하는 공정 중에 상기 배선 패턴에 포함되는 금속 패턴의 손상이나 부식이 최소화됨으로써, 반도체 소자의 불량 발생을 감소시킬 수 있다.
이하에서는, 도 1a 및 도 1b에 도시된 금속 배선 구조물의 다른 형성 방법을 설명하기 위한 단면도이다. (단품 제조2)
먼저, 도 2 내지 도 6을 참조로 설명한 공정을 동일하게 수행하여 도 6에 도시된 구조를 형성한다.
진공 분위기를 계속 유지한 상태에서 1회의 증착 공정을 수행하여, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a) 상에 보호막 패턴(24a)을 형성한다. 이때, 상기 제1 예비 절연막(12) 상에는 아무런 막도 형성되지 않는다. 상기 공정을 수행하면, 도 8에 도시된 것과 동일한 구조가 형성된다.
상기 증착 공정은 하지막에 따라 선택적으로 막이 증착되도록 하는 공정일 수 있다. 즉, 상기 증착 공정은 금속을 포함하고 도전성을 갖는 하지막 상에만 막이 형성되고, 금속을 포함하지 않거나 도전성을 갖지 않는 하지막에는 막이 형성되지 않는 공정일 수 있다. 상기 보호막 패턴은 금속을 포함할 수 있다.
예를들어, 상기 보호막 패턴(24a)은 무전해 도금법을 통해 형성될 수 있다. 상기 보호막 패턴(24a)을 형성하기 위하여, 먼저 물을 기반으로 하는 재료를 상기 제1 예비 절연막(12) 표면에 선택적으로 흡착시킨다. 이 후, 무전해 도금법을 이용하여 보호막 패턴(24a)을 형성한다. 이 때, 상기 재료가 흡착된 제1 예비 절연막(12)의 친수성을 가지기 때문에, 상기 무전해 도금을 실시하더라도 상기 보호막 패턴(24a)이 형성되지 않는다. 따라서, 상기 배선 패턴(20) 상에만 보호막 패턴(24a)이 형성될 수 있다. 이 후, 세정 공정을 통해 상기 제1 예비 절연막(12)의 표면에 흡착된 재료를 제거한다. 상기 보호막 패턴(24a)으로 사용될 수 있는 금속은 코발트를 포함할 수 있다. 상기 보호막 패턴(24a)의 예로는 Co, CoSi2, CoWP, CoPRu 등을 들 수 있다.
그런데, 상기 제1 및 제2 산화물(22a, 22b)은 도전성을 갖지 않으므로, 상기 베리어 금속 패턴(16a) 및 금속 패턴(18a)의 표면에 제1 및 제2 산화물(22a, 22b)이 남아 있으면 상기 보호막 패턴(24a)이 형성되지 않는 부위가 생기게 된다. 그러나, 본 실시예의 경우, 이 전의 공정에서 제1 및 제2 산화물(22a, 22b)을 완전하게 제거하였으므로, 상기 금속 패턴(18a)과 상기 베리어 금속 패턴(16a) 상부 표면을 덮는 보호막 패턴(24a)을 형성할 수 있다.
다른 예로, 상기 보호막 패턴(24a)은 코발트를 포함하는 전구체를 사용하여 화학기상 증착법으로 형성될 수 있다. 이 경우에도, 코발트를 포함하는 재료가 금속 물질 상부면에만 선택적으로 증착될 수 있으므로, 상기 제1 베리어 금속막 및 제1 금속 패턴 상에는 코발트를 포함하는 제1 보호막 패턴이 형성되고, 상기 제2 베리어 금속막 및 제2 금속 패턴 상에는 코발트를 포함하는 제2 보호막 패턴이 형성된다. 그러나, 상기 제1 예비 유전막 상에는 코발트가 증착되지 않으므로 제3 보호막 패턴은 형성되지 않는다.
이 후, 도 9 및 도 10을 참조로 설명한 공정을 동일하게 수행하여 도 1에 도시된 반도체 소자의 금속 배선 구조물을 제조할 수 있다.
도 11은 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도이다.
도 11을 참조하면, 제1 영역 및 제2 영역이 구분되는 반도체 기판(100)이 마련된다. 상기 제1 영역은 배선 라인들이 제1 간격(d1)으로 이격되면서 배치되는 부위이고, 상기 제2 영역은 배선 라인들이 상기 제1 간격(d1)보다 좁은 제2 간격(d2)으로 배치되는 부위일 수 있다. 상기 반도체 기판(100) 상에는 트랜지스터들과 같은 하부 소자들이 형성되어 있을 수 있다. 또한, 상기 하부 소자들을 덮는 하부 층간 절연막(102)이 더 구비될 수 있다.
상기 제1 영역의 하부 층간 절연막(102) 상에는 제1 유전막(104a)이 구비된다. 상기 제1 유전막(104a)은 4.0정도의 유전 상수를 갖는 실리콘 산화물(SiO2)일 수 있다. 바람직하게는, 상기 제1 유전막(104a)은 3.5 미만의 유전상수를 갖는 물질을 포함할 수 있다. 반도체 소자의 집적도가 높아질수록 배선들 간의 간격이 가까워져서 더 낮은 유전 상수를 갖는 물질이 사용되어야 한다. 일 예로, 28nm급 소자의 경우 약 2.5 정도의 유전 상수를 갖는 물질을 사용할 수 있다.
상기 제1 유전막(104a)은 예를들어, 실리콘, 탄소, 산소 및 수소 원소로 구성되는 SiCHO 의 형태일 수 있다. 또는 상기 제1 유전막(104a)은 탄소 도핑된 실리콘 산화물(SiOC)의 형태일 수 있다.
상기 제1 유전막(104a)을 관통하여 제1 트렌치 및 상기 제1 트렌치 하부와 연통되는 제1 콘택홀들을 포함하는 제1 개구부들이 구비된다. 상기 제1 트렌치들은 상기 제1 간격으로 이격될 수 있다.
상기 제1 개구부의 측벽 및 저면을 따라 제1 베리어 금속 패턴(120)이 구비된다. 상기 제1 베리어 금속 패턴(120) 상에 제1 콘택 플러그(122b) 및 제1 금속 패턴(122a)이 구비된다. 즉, 상기 제1 콘택홀 내부에는 제1 콘택 플러그가 구비되고, 상기 제1 트렌치 내에는 제1 방향으로 연장되는 라인 형상의 제1 금속 패턴(122a)이 구비된다. 상기 제1 베리어 금속 패턴(120)은 상기 제1 콘택 플러그(122b)의 측벽 및 저면과 상기 제1 금속 패턴(122a)의 측벽과 저면을 따라 구비된다. 그러므로, 상기 제1 베리어 금속 패턴(120)은 상기 제1 콘택 플러그(122b) 및 제1 금속 패턴(122a)을 둘러싸는 형상을 갖는다.
이하에서, 상기 제1 금속 패턴(122a) 및 제1 베리어 금속 패턴(120)은 제1 배선 패턴이라 하면서 설명한다. 상기 제1 배선 패턴들 사이는 제1 간격으로 이격될 수 있다. 상기 제1 배선 패턴들 사이 및 상기 제1 콘택 플러그들(122b) 사이에는 실리콘 산화물(SiO2)보다 낮은 유전율을 갖는 물질로 이루어지는 제1 유전막(104a)이 구비된다.
상기 제2 영역의 하부 층간 절연막(102) 상에는 제2 유전막(104b)이 구비된다. 상기 제2 유전막(104b)을 관통하여 제2 베리어 금속 패턴(124) 및 제2 콘택 플러그(126b)가 구비된다. 상기 제2 유전막(104b)은 상기 제1 유전막(104a)과 동일한 물질일 수 있다. 즉, 상기 제2 콘택 플러그(126b) 사이에는 저유전율을 갖는 물질이 구비된다. 상기 제2 콘택 플러그(126b)는 상기 제1 콘택 플러그(122b)와 동일한 상부면 높이를 가질 수 있다. 따라서, 상기 제2 유전막(104b)의 상부면은 상기 제1 유전막(104a)의 상부면보다 낮을 수 있다. 상기 제2 유전막(104b) 상에 상기 제2 베리어 금속 패턴(124) 및 제2 금속 패턴(126a)이 구비될 수 있다. 상기 제2 금속 패턴(126a)은 제1 방향으로 연장되는 라인 형상을 가질 수 있다. 상기 제2 베리어 금속 패턴(124)은 상기 제2 콘택 플러그(126b)의 측벽 및 저면과 상기 제2 금속 패턴(126a)의 측벽과 저면을 따라 구비된다.
이하에서, 상기 제2 금속 패턴(126a) 및 제2 베리어 금속 패턴(124)은 제2 배선 패턴이라 하면서 설명한다. 상기 제2 배선 패턴들 사이는 상기 제1 간격보다 더 좁은 제2 간격으로 이격될 수 있다. 상기 제2 배선 패턴들 사이에는 에어 갭(142)이 포함되어 있다.
상기 제1 및 제2 베리어 금속 패턴들(120, 124)은 동일한 물질을 포함한다. 또한, 상기 제1 및 제2 금속 패턴들(122a, 126a) 과 상기 제1 및 제2 콘택 플러그들(122b, 126b)은 동일한 금속 물질을 포함한다. 예를들어, 상기 제1 및 제2 베리어 금속 패턴(120, 124)은 탄탈륨 함유막, 티타늄 함유막 또는 텅스텐 함유막을 포함할 수 있다. 일 실시예에서, 상기 베리어 금속막은 탄탈륨 질화막 및 탄탈륨이 적층되는 형상을 가질 수 있다. 상기 제1 및 제2 금속 패턴(122a, 126a)은 구리 또는 구리를 포함하는 재료를 포함할 수 있다.
이와같이, 패턴들 사이의 간격이 상대적으로 넓은 상기 제1 배선 패턴들 사이에는 저유전율을 갖는 제1 유전막(104a)이 구비된다. 또한, 패턴들 사이의 간격이 상대적으로 좁은 상기 제2 배선 패턴들 사이에 선택적으로 에어 갭(142)이 구비된다. 즉, 상기 에어 갭 구조는 반도체 소자의 배선 패턴 전체에 구비되지 않고, 빠른 응답속도가 요구되는 특정 배선이나 패턴간 간격이 매우 좁아서 기생 커패시턴스가 증가되는 배선 패턴들에만 일부 구비된다. 따라서, 상기 반도체 소자는 상기 에어 갭 구조가 배선 패턴 전체에 구비되는 경우에 비해 보다 안정된 구조를 가질 수 있으며, 높은 신뢰성을 가질 수 있다. 또한, 상기 반도체 소자는 기계적으로도 안정한 특성을 가짐으로써 패키지 공정 시에 발생되는 불량도 감소될 수 있다.
상기 제1 베리어 금속 패턴(120) 및 제1 금속 패턴(122a)의 상부면을 덮는 제1 보호막 패턴(130a)이 구비된다. 또한, 상기 제2 베리어 금속 패턴(124) 및 제2 금속 패턴(126a)의 상부면을 덮는 제2 보호막 패턴(130b)이 구비된다. 상기 제1 유전막(104a) 상에는 희생막 패턴이 구비된다.
상기 제1 및 제2 보호막 패턴(130a, 130b)은 동일한 물질이며, 금속 또는 금속 질화물을 포함할 수 있다. 상기 제1 및 제2 보호막 패턴(130a, 130b)을 이루는 물질은 산소 원자를 포함하지 않을 수 있다. 일 실시예에서, 상기 제1 및 제2 보호막 패턴(130a, 130b)은 알루미늄 질화물을 포함할 수 있다.
상기 제1 영역에 위치하는 상기 제1 보호막 패턴(130a) 및 희생막 패턴(132) 상에 제3 유전막 패턴(134a)이 구비된다. 상기 제3 유전막 패턴(134a)은 상기 제2 영역 상에는 구비되지 않는다. 상기 제3 유전막 패턴(134a)은 저 유전율을 갖는 물질을 포함할 수 있으며, 예를들어 SiCN을 포함할 수 있다.
상기 제3 유전막 패턴(134a)과 제2 배선 패턴의 상부면 및 제2 배선 패턴 사이에 절연막(140)이 구비된다. 상기 절연막(140)은 상기 제2 배선 패턴들 사이의 에어 갭(142)의 윗부분을 덮는 형상을 가질 수 있다. 따라서, 상기 제2 배선 패턴들 사이 및 상기 절연막(140) 아래에는 에어 갭(142)이 생성된다. 상기 절연막(140)은 상기 제2 배선 패턴의 측벽 부위에도 일부 구비될 수 있다.
상기 절연막(140)은 컨포멀하지 않은 증착 특성을 갖는 절연 물질을 포함할 수 있다. 상기 절연막(140)은 실리콘 산화물(SiO2)보다 저유전율을 가지면서 높은 탄성을 갖는 물질로 형성하는 것이 바람직하다. 일 예로, 상기 절연막(140)은 SiCN을 포함할 수 있다.
설명한 것과 같이, 상기 제2 베리어 금속 패턴 및 제2 금속 패턴의 상부면에 상기 제2 보호막 패턴이 구비됨으로써, 상기 제2 금속 패턴의 손상이 억제된다. 따라서, 상기 제2 금속 패턴이 부식되는 등의 문제가 감소되고 상기 제2 배선 패턴은 저저항을 가질 수 있다.
도 12 내지 도 17은 도 11에 도시된 반도체 소자의 금속 배선 구조물을 형성하는 방법을 나타내는 단면도이다.
도 12를 참조하면, 제1 영역 및 제2 영역이 구분되는 반도체 기판(100) 상에 FEOL 공정을 수행하여 소자들을 형성하고 이를 덮는 하부 층간 절연막(102)을 형성한다.
상기 하부 층간 절연막(102) 상에 제1 예비 유전막(104)을 형성한다. 상기 제1 예비 유전막(104)은 약 4.0정도의 유전 상수를 갖는 실리콘 산화물(SiO2)보다 낮은 유전 상수를 갖는 물질을 포함할 수 있다. 상기 제1 예비 유전막(104)은 예를들어, 실리콘, 탄소, 산소 및 수소 원소로 구성되는 SiCHO 의 형태일 수 있다. 또는 상기 제1 예비 유전막(104)은 탄소 도핑된 실리콘 산화물(SiOC)의 형태일 수 있다. 상기 제1 예비 유전막(104)의 형성 공정은 도 1의 제1 예비 절연막 형성 공정과 동일할 수 있다.
상기 제1 영역에 위치한 상기 제1 예비 유전막(104)의 일부를 식각하여 제1 콘택홀(108) 및 제1 트렌치(106)를 포함하는 제1 개구부들(110)을 형성한다. 상기 식각 공정을 통해, 상기 제2 영역의 제1 예비 유전막(104)에는 제2 콘택홀(114) 및 제2 트렌치(112)를 포함하는 제2 개구부들(116)을 형성한다. 상기 제1 트렌치(106)의 저면과 연통하여 적어도 1개의 제1 콘택홀(108)이 형성될 수 있다. 또한, 상기 제2 트렌치(112)의 저면과 연통하여 적어도 1개의 제2 콘택홀(114)이 형성될 수 있다. 상기 제1 트렌치들(106)은 제1 배선 패턴들을 형성하기 위한 몰드 패턴이고, 상기 제2 트렌치들(112)은 제2 배선 패턴들을 형성하기 위한 몰드 패턴이다.
도 12는 단면도이므로, 상기 제1 및 제2 트렌치(106, 112) 아래에 콘택홀이 구비되지 않는 부위가 있을 수 있다. 상기 제1 트렌치들(106)은 제1 간격으로 이격되고, 상기 제2 트렌치들(112)은 상기 제1 간격보다 좁은 제2 간격으로 이격될 수 있다.
도 13을 참조하면, 상기 제1 및 제2 개구부들(110, 116)의 표면 및 제1 예비 유전막(104)의 상부면을 따라 베리어 금속막을 형성한다. 상기 베리어 금속막 상에 상기 제1 및 제2 개구부들(110, 116)을 충분하게 충전하도록 금속막을 형성한다. 상기 베리어 금속막 및 금속막을 형성하는 공정은 도 2를 참조로 설명한 것과 동일할 수 있다. 예를들어, 상기 베리어 금속막은 탄탈륨 질화막 및 탄탈륨막을 포함할 수 있다. 상기 금속막은 저저항을 갖는 구리 또는 구리를 포함하는 재료일 수 있다.
이 후, 상기 제1 예비 유전막(104) 상에 형성되어 있는 금속막 및 베리어 금속막을 화학기계적 연마 공정을 통해 평탄화시킨다. 따라서, 상기 제1 영역에는 제1 베리어 금속 패턴들(120), 제1 콘택 플러그(122b) 및 제1 금속 패턴들(122a)을 형성한다. 또한, 상기 제2 영역에는 제2 베리어 금속 패턴들(124), 제2 콘택 플러그(126b) 및 제2 금속 패턴들(126a)을 형성한다. 상기 제1 및 제2 금속 패턴들(122a, 126a)은 라인 형상을 가질 수 있다.
이 때, 외부에 노출되는 상기 제1 및 제2 베리어 금속 패턴들(120, 124)과 상기 제1 및 제2 금속 패턴들(122a, 126a)의 상부면이 자연 산화될 수 있다. 따라서, 상기 제1 및 제2 금속 패턴(122a, 126a)의 상부면에는 제1 산화물(128a)이 생성되고, 상기 제1 및 제2 베리어 금속 패턴(120, 124)은 제2 산화물(128b)이 생성될 수 있다. 예를들어, 상기 제1 산화물(128a)은 구리 산화물(CuOx)일 수 있다. 상기 제2 산화물(128b)은 탄탈륨 산화물(TaOx)일 수 있다.
도 14를 참조하면, 상기 제1 및 제2 산화물(128a, 128b)을 제거하기 위하여, 표면에 UV를 노출하는 UV 전 처리를 수행한다. 상기 UV 전처리 공정을 수행할 때 진공 챔버 내에 수소를 포함하는 환원 가스를 플로우할 수 있다. 상기 환원 가스의 예로는 H2, NH3 등을 들 수 있으며, 이들 중 적어도 하나의 가스를 플로우할 수 있다. 상기 공정에 의해, 상기 제1 및 제2 산화물(128a, 128b)이 제거될 수 있다.
추가적으로, 진공 분위기를 유지한 상태에서 NH3 플라즈마 처리 공정을 수행할 수 있다. 상기 NH3 플라즈마 처리 공정을 수행하면, 상기 제1 및 제2 산화물(128a, 128b)이 남아있는 경우 환원 반응에 의해 완전하게 제거될 수 있다.
상기 전처리 공정들은 도 3 및 도 4를 참조로 설명한 것과 동일할 수 있다.
도 15를 참조하면, 진공 분위기를 유지한 상태에서 1회의 증착 공정을 수행하여, 상기 제1 베리어 금속 패턴(120) 및 제1 금속 패턴(122a) 상에 선택적으로 제1 보호막 패턴(130a)을 형성하고, 상기 제2 베리어 금속 패턴(124) 및 제2 금속 패턴(126a) 상에 선택적으로 제2 보호막 패턴(130b)을 형성한다. 상기 제1 및 제2 보호막 패턴(130a, 130b)은 동일한 물질로 형성된다. 또한, 상기 제1 예비 유전막(104) 상에는 상기 제1 및 제2 보호막 패턴(130a, 130b)과 다른 물질인 희생막 패턴(132)을 형성한다.
상기 증착 공정은 하지막에 따라 다른 물질막이 형성되도록 할 수 있다. 상기 제1 및 제2 보호막 패턴(130a, 130b)은 금속 질화물로 형성하고, 상기 희생막 패턴(132)은 금속 산 질화물로 형성할 수 있다. 일 예로, 알루미늄 질화물을 화학 기상 증착법으로 증착할 수 있다. 이 경우, 상기 제1 및 제2 보호막 패턴(130a, 130b)은 알루미늄 질화물로 형성되고, 상기 희생막 패턴은(132)은 알루미늄 산 질화물로 형성될 수 있다.
다음에, 상기 제1 및 제2 보호막 패턴(130a, 130b)과 희생막 패턴(132) 상에 제3 유전막(134)을 형성한다. 상기 제3 유전막(134)은 실리콘 산화물(SiO2)보다 저유전율을 갖는 물질로 형성될 수 있으며, 예를들어 SiCN을 포함할 수 있다.
도 16을 참조하면, 상기 제1 영역에 형성되는 제3 유전막(134)을 덮고, 상기 제2 영역에 형성되는 제3 유전막(134)을 노출하는 마스크 패턴(136)을 형성한다. 상기 마스크 패턴(136)은 포토레지스트 패턴일 수 있다.
상기 마스크 패턴(136)을 이용하여, 상기 제3 유전막(134)을 식각하고, 상기 희생막 패턴(132)을 제거한다. 상기 희생막 패턴(132)을 제거하는 공정에서, 상기 제2 보호막 패턴(130b)은 제거되지 않는다. 계속하여, 상기 희생막 패턴(132) 하부의 제1 예비 유전막(104)을 식각하여 리세스부(138)를 형성한다. 상기 제1 예비 유전막(104)은 상기 제2 금속 패턴(126a)의 높이의 중심과 상기 제2 금속 패턴(126a)의 저면부 사이 부위까지 제거할 수 있다. 또는, 상기 제1 예비 유전막(104)은 상기 제2 금속 패턴(126a)의 저면부와 인접하는 부위까지 제거할 수 있다.
한편, 제1 영역은 상기 마스크 패턴에 의해 덮혀 있기 때문에, 상기 제1 보호막 패턴(130a) 및 희생막 패턴(132)이 그대로 남아있다.
상기 식각 공정들은 습식 식각 공정인 것이 바람직하다. 상기 제3 유전막(134), 희생막 패턴(132) 및 제1 예비 유전막(104)은 희석된 불산을 이용하여 제거할 수 있다.
상기 제1 예비 유전막(104)의 일부가 식각됨으로써, 상기 제1 영역에는 제1 유전막(104a)이 형성되고 상기 제2 영역에는 상기 제1 유전막(104a)보다 낮은 높이를 갖는 제2 유전막(104b)이 형성된다. 또한, 상기 제3 유전막(134)의 일부가 식각됨으로써, 상기 제1 영역에는 제3 유전막 패턴(134a)이 형성된다.
이 후, 상기 마스크 패턴(136)을 제거한다.
도 17을 참조하면, 상기 제3 유전막 패턴(134a)과, 상기 제2 보호막 패턴(130b) 상부면과 상기 제2 배선 패턴들 사이를 덮는 절연막(140)을 형성한다. 따라서, 상기 제2 배선 패턴들 사이에 고립된 에어 갭(142)이 형성된다.
상기 절연막(140)을 형성하는 공정은 도 10에서 제2 절연막을 형성하는 것과 동일한 공정일 수 있다. 이 후, 상기 절연막(140) 상에 금속 층간 절연막(144, IMD)을 더 형성할 수 있다.
상기 공정에 의하면, 상기 제2 금속 패턴의 손상이나 부식이 최소화하면서 상기 제2 배선 패턴들 사이에 에어 갭을 생성시킬 수 있다. 또한, 이격 거리가 상대적으로 넓은 상기 제1 배선 패턴 사이에는 저유전율을 갖는 제1 유전막이 형성될 수 있다.
도 18은 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도이다.
도 18은 상기 제1 영역 상에 희생막 패턴이 구비되지 않는 것을 제외하고는 도 11에 도시된 반도체 소자의 금속 배선 구조물과 동일하다.
도 18을 참조하면, 상기 제1 베리어 금속 패턴(120) 및 제1 금속 패턴(122a)의 상부면을 덮는 제1 보호막 패턴(130a)이 구비된다. 또한, 상기 제2 베리어 금속 패턴(124) 및 제2 금속 패턴(126a)의 상부면을 덮는 제2 보호막 패턴(130b)이 구비된다. 그러나, 상기 제1 유전막(104a) 상에는 희생막 패턴이 구비되지 않는다.
상기 제1 및 제2 보호막 패턴(130a, 130b)은 동일한 물질이며, 금속 물질 상에서만 선택적으로 증착되는 물질일 수 있다. 상기 제1 및 제2 보호막 패턴(130a, 130b)으로 사용될 수 있는 물질은 코발트를 포함할 수 있다. 예를들어, 상기 제1 및 제2 보호막 패턴(130a, 130b)으로 사용될 수 있는 물질은 Co, CoSi2, CoWP, CoPRu 등을 들 수 있다.
상기 제1 영역에 위치하는 제1 유전막(104a) 및 제1 보호막 패턴(130a) 상에 제3 유전막 패턴(134a)이 구비된다. 상기 제3 유전막 패턴(134a)은 상기 제2 영역 상에는 구비되지 않는다.
도 19 및 도 20은 도 18에 도시된 반도체 소자의 금속 배선 구조물 제조 방법을 나타내는 단면도이다.
도 12 내지 도 14를 참조로 설명한 것과 동일한 공정을 수행하여 도 14에 도시된 구조를 형성한다.
도 19를 참조하면, 상기 제1 베리어 금속 패턴(120) 및 제1 금속 패턴(122a) 상에 제1 보호막 패턴(130a)을 형성한다. 상기 제2 베리어 금속 패턴(124) 및 제2 금속 패턴(126a) 상에 제2 보호막 패턴(130b)을 형성한다. 그러나, 상기 예비 제1 유전막(104) 상에는 희생막이 형성되지 않는다.
일 예로, 상기 제1 및 제2 보호막 패턴(130a, 130b)은 무전해 도금법을 통해 형성할 수 있으며, 코발트를 포함하는 물질일 수 있다. 상기 제1 및 제2 보호막 패턴은 Co, CoSi2, CoWP, CoPRu로 이루어진 군에서 선택된 적어도 하나의 물질을 포함할 수 있다.
도 20을 참조하면, 상기 제1 및 제2 보호막 패턴(130a, 130b)과 상기 예비 제1 유전막(104) 상에 제3 유전막(134)을 형성한다. 상기 제3 유전막(134)은 실리콘 산화물(SiO2)보다 저유전율을 갖는 물질로 형성될 수 있으며, 예를들어 SiCN을 포함할 수 있다.
이 후, 도 16 및 도 17을 참조로 설명한 공정들을 수행하여 도 18의 반도체 소자의 금속 배선 구조물을 형성할 수 있다.
도 21a 및 도 21b는 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도 및 사시도이다.
도 21a 및 도 21b에 도시된 반도체 소자의 금속 배선 구조물은 배선 패턴들의 측벽 부위에 절연 물질이 구비되지 않는 것을 제외하고는 도 1a 및 도 1b에 도시된 금속 배선 구조물과 동일하다.
도 21a 및 도 21b를 참조하면, 상기 기판(10) 상에 제1 절연막(12)이 구비된다. 상기 제1 절연막(12) 상에 베리어 금속 패턴(16a) 및 금속 패턴(18a)을 포함하는 복수의 배선 패턴(20)이 구비된다. 상기 각각의 배선 패턴(20) 상에는 보호막 패턴(24a)이 구비된다.
상기 제1 절연막(12), 배선 패턴들(20) 및 보호막 패턴들(24a)은 도 1a 및 도 1b에서 설명한 것과 동일할 수 있다.
상기 보호막 패턴들(24a) 상부면 및 상기 배선 패턴들(20) 사이의 에어 갭(28a)의 윗부분을 덮는 제2 절연막(40)이 구비된다. 상기 제2 절연막(40)은 투과성 물질(permeable material)일 수 있다. 상기 제2 절연막(40)은 상기 배선 패턴들(20)의 측벽 및 상기 제1 절연막(12) 상부면에는 구비되지 않는다. 따라서, 상기 에어 갭(28a)은 상기 제2 절연막(40), 상기 배선 패턴(20)의 측벽과 상기 제1 절연막(12) 상부면에 의해 한정되는 공간일 수 있다.
도 22 내지 도 24는 도 21a 및 도 21b에 도시된 반도체 소자의 금속 배선 구조물의 제조 방법을 나타내는 단면도들이다.
먼저, 도 2 내지 도 9를 참조로 설명한 것과 동일한 공정을 수행하여, 도 9에 도시된 구조를 형성한다.
도 22를 참조하면, 상기 리세스부(25) 내부를 채우도록 희생막을 형성한다. 상기 희생막은 다공성의 폴리머를 포함할 수 있다. 상기 희생막은 산소 가스 또는 오존을 이용한 에싱 공정을 통해 용이하게 제거될 수 있는 물질일 수 있다. 상기 희생막은 C-H 결합을 포함하는 막일 수 있다.
상기 희생막을 형성한 후, 상기 보호막 패턴(24a)이 노출되도록 평탄화 공정을 수행하여 희생막 패턴(38)을 형성한다. 상기 평탄화 공정은 화학 기계적 연마 공정 또는 에치백 공정을 포함할 수 있다. 상기 희생막 패턴(38)은 상기 배선 패턴들 사이에 위치하게 된다. 상기 희생막 패턴(38)은 후속 공정에서 에어 갭이 생성될 부위이다.
도 23을 참조하면, 상기 보호막 패턴(24a) 및 희생막 패턴(38) 상에 제2 절연막(40)을 형성한다. 상기 제2 절연막(40)은 투과성의 물질일 수 있다. 상기 제2 절연막은 탄소를 포함하는 절연 물질을 포함할 수 있다.
도 24를 참조하면, 산소 가스 또는 오존을 이용한 에싱 공정을 수행한다. 상기 제2 절연막(40)에 포함되는 탄소는 산소와 결합하여 제거됨으로써, 상기 제2 절연막(40)은 상기 탄소가 제거된 부위에 기공이 생성되어 다공성을 갖게 된다. 따라서, 상기 기공을 통해 산소를 포함하는 가스 또는 이온들이 하부의 희생막 패턴(38)으로 유입되어, 상기 희생막 패턴(38)이 제거된다. 따라서, 상기 희생막 패턴(38)이 제거된 부위에는 에어 갭(28a)이 생성된다.
상기 설명한 공정들을 수행하여 도 21a 및 도 21b에 도시된 반도체 소자의 금속 배선 구조물을 제조할 수 있다.
도 25는 본 발명의 일 실시예에 따른 반도체 소자의 금속 배선 구조물을 나타내는 단면도이다.
도 25에 도시된 반도체 소자의 금속 배선 구조물은 배선 패턴들의 측벽 부위에 스페이서 형태의 에어 갭이 포함된다.
도 25를 참조하면, 기판(10) 상에 제1 유전막(50)이 구비된다. 상기 제1 유전막(50)은 약 4.0정도의 유전 상수를 갖는 실리콘 산화물(SiO2)보다 낮은 유전 상수를 갖는 물질을 포함할 수 있다.
상기 제1 유전막(50)에는 트렌치 형상의 개구부들이 생성되어 있다. 상기 각각의 개구부들 내부에는 베리어 금속 패턴(56) 및 금속 패턴(58)을 포함하는 배선 패턴(59)이 구비된다. 상기 배선 패턴들(59) 상에는 보호막 패턴들(60)이 구비된다. 상기 보호막 패턴(60)은 상기 베리어 금속 패턴(56) 및 금속 패턴(58) 이외의 다른 부위에는 구비되지 않는다. 상기 보호막 패턴(60)은 도 1a 및 도 1b를 참조로 설명한 것과 동일할 수 있다.
상기 개구부들 측벽과 상기 배선 패턴(59)의 측벽 사이에는 에어 갭(62)이 생성되어 있다. 즉, 상기 배선 패턴(59)의 양 측으로 스페이서 형상의 에어 갭(62)이 생성되어 있다. 상기 배선 패턴(59)의 측벽과 접촉하는 절연막이 구비되지 않는다.
상기 제1 유전막(50) 및 상기 보호막 패턴(60) 상에 상기 에어 갭(62)을 덮는 절연막(64)이 구비된다. 상기 절연막(64)은 상기 에어 갭(62) 내부를 채우지 않도록 형성된다. 따라서, 상기 에어 갭(62)은 절연막(64) 아래에 상기 배선 패턴(59)의 측벽과 상기 개구부의 측벽에 의해 한정되는 공간일 수 있다.
도 26 내지 도 29는 도 25에 도시된 반도체 소자의 금속 배선 구조물 제조 방법을 나타내는 단면도들이다.
도 26을 참조하면, 반도체 기판(10) 상에 제1 유전막(50)을 형성한다. 상기 제1 유전막(50)은 약 4.0정도의 유전 상수를 갖는 실리콘 산화물보다 낮은 유전 상수를 갖는 물질을 포함할 수 있다. 상기 제1 유전막(50)은 예를들어, 실리콘, 탄소, 산소 및 수소 원소로 구성되는 SiCHO 의 형태일 수 있다. 또는 상기 제1 유전막(50)은 탄소 도핑된 실리콘 산화물(SiOC)의 형태일 수 있다.
상기 제1 유전막(50)의 일부분을 식각하여 개구부들(52)을 형성한다. 후속 공정을 통해, 상기 개구부들(52) 내부에는 배선 패턴 및 에어 갭이 각각 형성된다. 따라서, 상기 개구부들(52)은 형성하고자하는 배선 패턴의 폭보다 더 넓은 너비를 갖도록 형성할 수 있다.
상기 개구부(52) 내벽 및 제1 유전막(50) 상에 희생 스페이서막을 형성하고 이를 이방성 식각한다. 따라서, 상기 개구부(52) 측벽에 희생 스페이서(54)를 형성한다. 상기 희생 스페이서(54)는 상기 제1 유전막(50)과 선택적인 식각이 가능한 물질로 형성될 수 있다. 일 예로, 상기 희생 스페이서(54)는 실리콘 질화물을 포함할 수 있다. 상기 희생 스페이서(54)는 에어 갭이 형성되는 부위가 된다. 그러므로, 상기 희생 스페이서막의 증착 두께는 상기 에어 갭의 너비가 된다. 상기 희생 스페이서막의 증착 두께를 조절함으로써 상기 에어 갭의 너비를 용이하게 조절할 수 있다.
도 27을 참조하면, 상기 희생 스페이서(54)가 형성된 상기 개구부(52) 내부에 베리어 금속 패턴(56) 및 금속 패턴(58)을 포함하는 배선 패턴(59)을 형성한다. 상기 배선 패턴(59) 상에 형성된 자연 산화물을 환원 가스를 플로우하면서 UV 전처리하여 제거한다. 또한, 상기 자연 산화물을 NH3 플라즈마 처리를 통해 제거한다. 이 후, 상기 배선 패턴(59) 상에 보호막 패턴(60)을 형성한다. 상기 공정들은 도 3 내지 도 8을 참조로 설명한 것과 동일할 수 있다.
도 28을 참조하면, 상기 희생 스페이서(54)를 선택적으로 제거하여 배선 패턴(59)의 양 측으로 에어 갭(62)을 형성한다. 상기 희생 스페이서(54)를 제거하는 공정은 습식 식각 공정일 수 있다. 예를들어, 상기 희생 스페이서(54)는 희석된 불산(Diluted HF)을 사용하여 제거할 수 있다.
상기 배선 패턴(59) 상에 상기 보호막 패턴(60)이 형성되어 있으므로, 상기 희생 스페이서(54)를 제거할 때 상기 금속 패턴(58)의 부식과 같은 불량이 감소된다.
도 29를 참조하면, 상기 제1 유전막(50) 및 보호막 패턴(60) 상에 상기 에어 갭(62) 상부를 덮는 절연막(64)을 형성한다. 상기 절연막(64)은 상기 에어 갭 내부를 채우지 않도록 형성된다.
상기 공정에 의해 도 25에 도시된 반도체 소자의 금속 배선 구조물을 형성할 수 있다.
비교 실험 1
샘플 1
샘플 1은 기판 상에 베리어 금속막으로 사용되는 탄탈륨막을 형성하고, 상기 탄탈륨막에 H2 및 NH3 가스를 플로우하면서 UV 전처리하였다. 이 후, NH3 플라즈마 처리한 후, 알루미늄 질화막을 형성하였다.
비교 샘플 1
비교 샘플 1은 기판 상에 베리어 금속막으로 사용되는 탄탈륨막을 형성하고, NH3 플라즈마 처리한 후, 알루미늄 질화막을 형성하였다. 즉, 상기 UV 전처리 공정을 수행하지 않았다.
도 30은 샘플 1 및 비교 샘플 1의 막에서 산소 성분에 대한 SIMS 프로파일을 나타낸다.
도 30에서, 도면부호 70은 샘플 1에서의 산소 성분이고, 도면부호 72는 비교 샘플 1에서의 산소 성분이다.
도 30을 참조하면, 알루미늄 질화막과 탄탈륨막 사이의 계면 부위에서 샘플 1의 산소의 함량이 비교 샘플 1의 산소 함량보다 상당히 낮음을 알 수 있었다. 또한, 상기 샘플 1에서는 탄탈륨막 상에 형성되는 알루미늄 질화막에 산소가 거의 포함되어 있지 않음을 알 수 있었다. 반면에, 비교 샘플 1에서는 탄탈륨막 상에 형성되는 알루미늄 질화막에 상대적으로 산소의 함량이 많음을 알 수 있었다.
그 결과, 상기 UV 전처리를 수행함으로써, 탄탈륨막 상에 산소를 거의 포함하지 않는 알루미늄 질화막이 형성됨을 알 수 있었다. 따라서, 상기 UV 전처리 공정을 수행함으로써, 베리어 금속막 상에 생성된 자연 산화막이 제거됨을 알 수 있었다.
비교 실험 2
샘플 2
샘플 2는 기판 상에 절연막을 형성하고 상기 절연막에 비아홀들 및 상기 비아홀들 상에 트렌치들을 포함하는 개구부를 형성하였다.
상기 개구부 내에 도 3 내지 10을 참조로 설명한 공정들을 동일하게 수행하였다. 베리어 금속 패턴은 탄탈륨 질화물 및 탄탈륨으로 형성하고, 금속 패턴은 구리로 형성하였다. 보호막 패턴은 알루미늄 질화막 패턴으로 형성하였다. 상기 보호막 패턴을 형성하기 전에, H2 및 NH3 가스를 플로우하면서 UV 전처리하고 난 다음, NH3 플라즈마 처리하였다. 따라서, 상기 절연막에 복수의 비아 콘택들 및 배선 라인들을 포함하는 비아 체인 구조를 형성하였다. 상기 비아 체인 구조에서 상기 배선 라인들 사이에는 에어 갭이 구비된다.
비교 샘플 2
비교 샘플 2는 샘플 1과 동일한 공정으로 비아 체인 구조를 형성하였다. 다만, 상기 보호막 패턴을 형성하기 전에, UV 전처리하는 공정을 수행하지 않았으며, NH3 플라즈마 처리만 수행하였다.
도 31은 샘플 2 및 비교 샘플 2의 막에서 각 비아 콘택 저항에 따른 수율을 나타낸다.
도 31에서, 도면부호 80으로 표시된 것은 샘플 2에서의 각 비아 콘택 저항에 따른 수율이고, 도면부호 82로 표시된 것은 비교 샘플 2에서의 각 비아 콘택 저항에 따른 수율이다.
도 31을 참조하면, 상기 비아 체인 구조에서 하나의 비아 콘택 저항값을 30Ω이하가 될 때 정상이라고 판정하는 경우, 샘플 2의 비아 체인 구조의 경우 약 99%의 수율을 나타내었다. 반면에, 하나의 비아 콘택에 대한 저항을 30Ω이하를 정상의 기준으로 잡을 경우, 비교 샘플 2의 비아 체인 구조의 경우 약 80%의 수율을 나타내었다.
즉, 상기 보호막을 형성하기 이 전에 UV 전처리를 수행하는 경우, 비아 체인 구조의 저항에 대한 수율이 약 19% 정도 상승함을 알 수 있었다. 상기 UV 전처리 공정을 수행함으로써 비아 체인 구조의 저항 상승 원인인 금속 배선의 부식이 매우 감소됨을 알 수 있었다. 또한, 금속 배선의 수율이 상승함을 알 수 있었다.
이와같이, 상기 보호막을 형성하기 이 전에 UV 전처리하여 베리어 금속 패턴 상에 형성된 자연 산화물을 완전하게 제거함으로써, 금속 패턴의 부식에 따른 저항 증가 등의 문제를 감소시킬 수 있다.
본 발명은 반도체 소자의 금속 배선에 다양하게 이용될 수 있다. 특히, 고집적화되면서 고성능을 요구하는 반도체 소자의 금속 배선으로 사용될 수 있다.
10, 100 : 기판 12a : 제1 절연막
14 : 개구부들 16a : 베리어 금속 패턴
18a : 금속 패턴 22a, 128a : 제1 산화물
22b, 128b : 제2 산화물 24a : 보호막 패턴
24b : 희생막 패턴 26 : 제2 절연막
28, 142 : 에어갭 25, 138 : 리세스부
104a, 104b : 제1 및 제2 유전막
120, 124 : 제1 및 제2 베리어 금속 패턴
122a, 126a : 제1 및 제2 금속 패턴
122b, 126b : 제1 및 제2 콘택 플러그
130a, 130b : 제1 및 제2 보호막 패턴
132 : 희생막 패턴 134a : 제3 유전막 패턴

Claims (20)

  1. 기판 상에 구비되는 제1 절연막;
    상기 제1 절연막 상에 구비되고, 금속 패턴들 및 금속 패턴들의 측벽 및 저면을 둘러싸는 베리어 금속 패턴들을 포함하는 배선 패턴들;
    상기 배선 패턴들과 직접 접촉하면서 상기 배선 패턴들 상부면을 덮고, 하부막에 따라 성막 특성이 다른 물질을 포함하는 보호막 패턴들; 및
    상기 배선 패턴들 사이에 고립된 에어 갭을 생성하면서 상기 보호막 패턴 상에 구비되는 제2 절연막을 포함하는 반도체 소자의 배선 구조물.
  2. 제1항에 있어서, 상기 보호막 패턴은 금속 또는 금속 질화물을 포함하는 반도체 소자의 배선 구조물.
  3. 제1항에 있어서, 상기 보호막 패턴은 알루미늄 질화물 또는 코발트를 포함하는 금속 물질인 반도체 소자의 배선 구조물.
  4. 기판 상에, 개구부들을 포함하는 제1 절연막을 형성하는 단계;
    상기 개구부들의 측벽 및 저면에 베리어 금속막을 형성하는 단계;
    상기 베리어 금속막 상에 상기 개구부들을 채우는 금속막을 형성하는 단계;
    상기 제1 절연막의 상부면이 노출되도록 상기 금속막을 평탄화하여, 상부 표면에 제1 산화물이 생성된 금속 패턴들 및 상부 표면에 제2 산화물이 생성된 베리어 금속 패턴들을 각각 형성하는 단계;
    상기 제1 및 제2 산화물에 환원 가스를 유입하면서 UV를 노출하는 UV 전처리 공정을 통해 상기 제1 및 제2 산화물을 제거하여, 상기 베리어 금속 패턴 및 금속 패턴을 포함하는 배선 패턴들을 형성하는 단계;
    상기 배선 패턴들과 직접 접촉하면서 상기 배선 패턴들 상부면을 덮고, 하부막에 따라 성막 특성이 다른 물질을 포함하는 보호막 패턴들을 형성하는 단계;
    상기 제1 절연막을 부분적으로 제거하여 상기 배선 패턴들 양 측에 리세스부를 형성하는 단계; 및
    상기 배선 패턴들 사이에 고립된 에어 갭을 생성하면서, 상기 보호막 패턴 상에 제2 절연막을 형성하는 단계를 포함하는 반도체 소자의 배선 구조물 형성 방법.
  5. 제4항에 있어서, 상기 UV 전처리 공정 및 보호막 패턴들을 형성하는 공정은 진공 상태가 계속 유지된 상태에서 수행되는 반도체 소자의 배선 구조물 형성 방법.
  6. 제4항에 있어서, 상기 UV 전처리 공정시에 사용되는 상기 환원 가스는 H2 와 NH3 중 적어도 하나를 포함하는 반도체 소자의 배선 구조물 형성 방법.
  7. 제4항에 있어서, 상기 UV 전처리 공정은 250 내지 400℃의 온도에서 수행하는 반도체 소자의 배선 구조물 형성 방법.
  8. 제4항에 있어서, 상기 UV 전처리 공정을 수행한 이 후에 NH3를 이용한 플라즈마 처리 공정을 더 수행하는 반도체 소자의 배선 구조물 형성 방법.
  9. 제8항에 있어서, 상기 UV 전처리 공정과 NH3 플라즈마 전처리 공정은 진공 상태를 유지하면서 서로 다른 진공 챔버에서 진행하는 반도체 소자의 배선 구조물 형성 방법
  10. 제4항에 있어서, 상기 베리어 금속막은 탄탈륨(Ta), 탄탈륨 질화막(TaN), TaC, TaCN, 티타늄(Ti), 티타늄 질화막(TiN), WN으로 이루어지는 군에서 선택된 적어도 하나를 포함하는 반도체 소자의 배선 구조물 형성 방법.
  11. 제4항에 있어서, 상기 금속막은 구리 또는 구리를 포함하는 재료로 형성되는 반도체 소자의 배선 구조물 형성 방법.
  12. 제4항에 있어서, 상기 보호막 패턴은 금속 또는 금속 질화물을 포함하는 반도체 소자의 배선 구조물 형성 방법.
  13. 제12항에 있어서, 상기 보호막 패턴을 형성하는 증착 공정에서, 상기 보호막 패턴은 금속 질화물을 포함하고, 상기 제1 절연막 상에 금속 산 질화물을 포함하는 제1 희생막 패턴을 함께 증착하는 반도체 소자의 배선 구조물 형성 방법.
  14. 제13항에 있어서, 상기 보호막 패턴을 형성하는 공정에서, 알루미늄 질화물 전구체를 이용하여 화학 기상 증착법을 수행하여, 알루미늄 질화물을 포함하는 보호막 패턴 및 알루미늄 산 질화물을 포함하는 제1 희생막 패턴을 형성하는 반도체 소자의 배선 구조물 형성 방법.
  15. 제13항에 있어서, 상기 제1 절연막을 부분적으로 제거하기 이 전에 상기 제1 희생막 패턴을 선택적으로 제거하는 반도체 소자의 배선 구조물 형성 방법.
  16. 제12항에 있어서, 상기 보호막 패턴은 Co, CoSi2, CoWP, CoPRu로 이루어지는 군에서 선택된 적어도 하나를 포함하는 반도체 소자의 배선 구조물 형성 방법.
  17. 제4항에 있어서, 상기 리세스부를 형성한 이 후에,
    상기 리세스부를 채우는 제2 희생막 패턴을 형성하는 단계;
    상기 제2 희생막 패턴 및 제1 보호막 패턴 상에 다공성의 절연막을 형성하는 단계; 및
    상기 다공성의 절연막에 포함된 기공을 통해 상기 제2 희생막 패턴을 선택적으로 제거하는 단계를 더 포함하는 반도체 소자의 배선 구조물 형성 방법.
  18. 제1 영역의 기판 상에 구비되고 개구부들을 포함하는 제1 유전막;
    제2 영역의 기판 상에 구비되고 상기 제1 유전막보다 낮은 상부면을 갖는 제2 유전막;
    상기 제1 유전막의 개구부들 내부에 각각 구비되고, 제1 금속 패턴 및 제1 금속 패턴의 측벽 및 저면을 둘러싸는 제1 베리어 금속 패턴을 포함하고, 제1 간격으로 이격되면서 배치되는 제1 배선 패턴들;
    상기 제2 유전막 상에 구비되고, 제2 금속 패턴들 및 제2 금속 패턴들의 측벽 및 저면을 둘러싸는 제2 베리어 금속 패턴들을 포함하고, 상기 제1 간격보다 좁은 제2 간격으로 이격되면서 배치되는 제2 배선 패턴들;
    상기 제1 배선 패턴들과 직접 접촉하면서 상기 제1 배선 패턴들 상부면을 덮고, 하부막에 따라 성막 특성이 다른 물질을 포함하는 제1 보호막 패턴들;
    상기 제2 배선 패턴들과 직접 접촉하면서 상기 제2 배선 패턴들 상부면을 덮고, 상기 제1 보호막 패턴과 동일한 물질을 포함하는 제2 보호막 패턴들; 및
    상기 제2 배선 패턴들 사이에만 고립된 에어 갭을 생성하면서, 상기 제1 유전막, 제1 보호막 패턴 및 제2 보호막 패턴 상에 구비되는 절연막을 포함하는 반도체 소자의 배선 구조물.
  19. 제1 및 제2 영역이 구분된 기판 상에, 제1 및 제2 개구부들을 포함하는 예비 유전막을 형성하는 단계;
    상기 제1 개구부들 내부에는 제1 베리어 금속 패턴 및 제1 금속 패턴을 포함하고 제1 간격으로 서로 이격되는 제1 배선 패턴들과, 상기 제2 개구부들 내부에 제2 베리어 금속 패턴 및 제2 금속 패턴을 포함하고 상기 제1 간격보다 좁은 제2 간격으로 서로 이격되는 제2 배선 패턴들을 형성하고, 상기 제1 및 제2 배선 패턴들 상부면에는 자연 산화물이 생성되는 단계;
    상기 자연 산화물이 생성된 제1 및 제2 금속 패턴에 환원 가스를 유입하면서 UV를 노출하는 UV 전처리 공정을 통해 상기 자연 산화물을 제거하는 단계;
    상기 제1 및 제2 배선 패턴들과 직접 접촉하면서 상기 제1 및 제2 배선 패턴들 상부면을 각각 덮고, 하부막에 따라 성막 특성이 물질을 포함하는 제1 및 제2 보호막 패턴들을 형성하는 단계;
    상기 제2 영역에 위치하는 상기 예비 유전막을 부분적으로 제거하여 상기 제2 배선 패턴들 양측에 리세스부를 형성하여, 상기 제1 및 제2 영역에 각각 제1 유전막 및 제2 유전막을 형성하는 단계; 및
    상기 제2 배선 패턴들 사이에만 고립된 에어 갭을 생성하면서, 상기 제1 유전막, 제1 보호막 패턴 및 제2 보호막 패턴 상에 절연막을 형성하는 단계를 포함하는 반도체 소자의 배선 구조물의 형성 방법.
  20. 제19항에 있어서, 상기 UV 전처리 공정시에 사용되는 상기 환원 가스는 H2 와 NH3 중 적어도 하나를 포함하는 반도체 소자의 배선 구조물 형성 방법.
KR1020130161485A 2013-12-23 2013-12-23 반도체 소자의 배선 구조물 및 그 형성 방법 KR102146705B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020130161485A KR102146705B1 (ko) 2013-12-23 2013-12-23 반도체 소자의 배선 구조물 및 그 형성 방법
US14/527,842 US9281277B2 (en) 2013-12-23 2014-10-30 Methods of forming wiring structures
US15/000,282 US20160133577A1 (en) 2013-12-23 2016-01-19 Wiring Structures and Methods of Forming the Same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130161485A KR102146705B1 (ko) 2013-12-23 2013-12-23 반도체 소자의 배선 구조물 및 그 형성 방법

Publications (2)

Publication Number Publication Date
KR20150073595A true KR20150073595A (ko) 2015-07-01
KR102146705B1 KR102146705B1 (ko) 2020-08-21

Family

ID=53400884

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130161485A KR102146705B1 (ko) 2013-12-23 2013-12-23 반도체 소자의 배선 구조물 및 그 형성 방법

Country Status (2)

Country Link
US (2) US9281277B2 (ko)
KR (1) KR102146705B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180016112A (ko) * 2016-08-05 2018-02-14 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20180039842A (ko) * 2016-10-11 2018-04-19 삼성전자주식회사 반도체 장치 제조 방법
KR20190134203A (ko) * 2018-05-25 2019-12-04 주식회사 디비하이텍 에어갭이 형성된 알에프 스위치 소자 및 제조방법
WO2023172892A1 (en) * 2022-03-08 2023-09-14 Tokyo Electron Limited Method of forming a semiconductor device with air gaps for low capacitance interconnects

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR20150092581A (ko) * 2014-02-05 2015-08-13 삼성전자주식회사 배선 구조물 및 그 형성 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9799509B2 (en) * 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US9837281B2 (en) * 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2017005227A (ja) * 2015-06-16 2017-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
TWI578547B (zh) * 2015-09-10 2017-04-11 旺玖科技股份有限公司 電磁阻抗感測元件及其製作方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9881870B2 (en) 2015-12-30 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9837355B2 (en) * 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US20180012791A1 (en) * 2016-07-06 2018-01-11 Globalfoundries Inc. Interconnects with inner sacrificial spacers
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10121660B2 (en) 2016-08-18 2018-11-06 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US9960275B1 (en) * 2016-10-28 2018-05-01 Applied Materials, Inc. Method of fabricating air-gap spacer for N7/N5 finFET and beyond
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102365108B1 (ko) 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN111863709B (zh) * 2019-04-29 2024-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11302641B2 (en) 2020-06-11 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned cavity strucutre
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11482447B2 (en) * 2020-07-08 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated chip having a cavity between metal features
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11652054B2 (en) 2021-04-21 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric on wire structure to increase processing window for overlying via
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11929281B2 (en) * 2021-06-17 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing oxidation by etching sacrificial and protection layer separately
US11842966B2 (en) 2021-06-23 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip with inter-wire cavities
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117438411A (zh) * 2022-07-12 2024-01-23 长鑫存储技术有限公司 半导体结构和半导体结构的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
KR20040093565A (ko) * 2003-04-30 2004-11-06 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP2007067132A (ja) * 2005-08-31 2007-03-15 Sony Corp 半導体装置の製造方法
JP2011216597A (ja) * 2010-03-31 2011-10-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び成膜装置
KR20130092884A (ko) * 2012-02-13 2013-08-21 에스케이하이닉스 주식회사 반도체 소자의 배선 구조체 및 제조 방법

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200802703A (en) * 2005-11-28 2008-01-01 Nxp Bv Method of forming a self aligned copper capping layer
US20070218677A1 (en) * 2006-03-15 2007-09-20 Manfred Engelhardt Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines
US7759241B2 (en) * 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
JP2008294335A (ja) * 2007-05-28 2008-12-04 Panasonic Corp 半導体装置の製造方法
US8084352B2 (en) * 2007-06-04 2011-12-27 Panasonic Corporation Method of manufacturing semiconductor device
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
JP5149603B2 (ja) 2007-11-29 2013-02-20 大日本スクリーン製造株式会社 半導体装置の製造方法および半導体装置
US8310053B2 (en) * 2008-04-23 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a device with a cavity
KR101382564B1 (ko) * 2008-05-28 2014-04-10 삼성전자주식회사 에어갭을 갖는 층간 절연막의 형성 방법
DE102008059650B4 (de) 2008-11-28 2018-06-21 Globalfoundries Inc. Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
JP5734757B2 (ja) * 2011-06-16 2015-06-17 株式会社東芝 半導体装置及びその製造方法
KR101402962B1 (ko) 2012-04-13 2014-06-03 한국생산기술연구원 반도체 금속배선내 에어갭 형성 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
KR20040093565A (ko) * 2003-04-30 2004-11-06 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP2007067132A (ja) * 2005-08-31 2007-03-15 Sony Corp 半導体装置の製造方法
JP2011216597A (ja) * 2010-03-31 2011-10-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び成膜装置
KR20130092884A (ko) * 2012-02-13 2013-08-21 에스케이하이닉스 주식회사 반도체 소자의 배선 구조체 및 제조 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180016112A (ko) * 2016-08-05 2018-02-14 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20180039842A (ko) * 2016-10-11 2018-04-19 삼성전자주식회사 반도체 장치 제조 방법
KR20190134203A (ko) * 2018-05-25 2019-12-04 주식회사 디비하이텍 에어갭이 형성된 알에프 스위치 소자 및 제조방법
WO2023172892A1 (en) * 2022-03-08 2023-09-14 Tokyo Electron Limited Method of forming a semiconductor device with air gaps for low capacitance interconnects

Also Published As

Publication number Publication date
KR102146705B1 (ko) 2020-08-21
US9281277B2 (en) 2016-03-08
US20160133577A1 (en) 2016-05-12
US20150179582A1 (en) 2015-06-25

Similar Documents

Publication Publication Date Title
KR102146705B1 (ko) 반도체 소자의 배선 구조물 및 그 형성 방법
JP4864307B2 (ja) エアーギャップを選択的に形成する方法及び当該方法により得られる装置
US8383507B2 (en) Method for fabricating air gap interconnect structures
TWI610343B (zh) 具有楔形鑲嵌孔洞之半導體結構及其製造方法
US11488861B2 (en) Method for manufacturing an interconnect structure having a selectively formed bottom via
US7566656B2 (en) Method and apparatus for providing void structures
KR20100122701A (ko) 반도체 소자의 제조방법
CN109698133B (zh) 包括钝化间隔物的半导体器件及其制造方法
KR20100107604A (ko) 배선 구조물 및 이의 형성 방법
JP2007035955A (ja) 半導体装置およびその製造方法
KR102567527B1 (ko) 반도체 소자 및 그 제조 방법.
US9553017B2 (en) Methods for fabricating integrated circuits including back-end-of-the-line interconnect structures
KR20210133117A (ko) Beol 상호연결부들 사이의 장벽 없는 계면
US11538749B2 (en) Interconnect structure
TWI703698B (zh) 具有氣隙之後段製程結構
US7781301B2 (en) Method of fabricating semiconductor device
KR20210009493A (ko) 반도체 장치 및 이의 제조 방법
CN113013141A (zh) 半导体结构
KR100852207B1 (ko) 절연막 제거방법 및 금속 배선 형성방법
US10128149B2 (en) Semiconductor device and method for manufacturing same
EP3817038A1 (en) A method for producing self-aligned gate and source/drain via connections for contacting a fet transistor
KR101021177B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR100571407B1 (ko) 반도체 소자의 배선 제조 방법
TW201814869A (zh) 內連線結構的製造方法
KR20180031900A (ko) 에어 갭을 포함하는 반도체 소자

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right