KR20150046188A - 광 구조물을 형성하는 방법 - Google Patents

광 구조물을 형성하는 방법 Download PDF

Info

Publication number
KR20150046188A
KR20150046188A KR20157006969A KR20157006969A KR20150046188A KR 20150046188 A KR20150046188 A KR 20150046188A KR 20157006969 A KR20157006969 A KR 20157006969A KR 20157006969 A KR20157006969 A KR 20157006969A KR 20150046188 A KR20150046188 A KR 20150046188A
Authority
KR
South Korea
Prior art keywords
semiconductor
optical element
forming
silicon
photodetector
Prior art date
Application number
KR20157006969A
Other languages
English (en)
Other versions
KR101742407B1 (ko
Inventor
거티 샌더
Original Assignee
마이크론 테크놀로지, 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크 filed Critical 마이크론 테크놀로지, 인크
Publication of KR20150046188A publication Critical patent/KR20150046188A/ko
Application granted granted Critical
Publication of KR101742407B1 publication Critical patent/KR101742407B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02327Optical elements or arrangements associated with the device the optical elements being integrated or being directly associated to the device, e.g. back reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12142Modulator
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12169Annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Optical Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Light Receiving Elements (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

개시된 실시예는 전자 소자를 포함하는 CMOS 반도체 구조물을 제작하는 백 엔드에서 광 소자가 형성되는 집적 회로 구조물 및 이를 형성하는 방법과 관련된다. 상기 광 소자와 연관된 도핑된 영역이 도펀트 활성화를 위해 마이크로파 어닐링을 이용해 형성된다.

Description

광 구조물을 형성하는 방법{METHOD OF FORMING PHOTONICS STRUCTURES}
본 발명은 DARPA에 의해 지급되는 협약 HR0011-11-9-0009 하의 정부 지원에 의해 만들어졌다. 정부는 본 발명에서 특정 권리를 가진다.
기술 분야
본 발명의 실시예는 일반적으로 실리콘 웨이퍼 상에서의 광 구조물 및 전자 소자의 제조와 관련되고, 특히 CMOS 공정 흐름의 백-엔드(back-end)에서의 광 구조물을 형성하는 방법과 관련된다.
주로 마이크로전자 회로에서의 광 전송 및 광 상호연결을 위한, 실리콘 위의 광소자에 대한 관심이 수 년 동안 증가하였다. 광 소자(photonic device), 가령, 도파로, 변조기 및 검출기가 상보적 금속-옥사이드 반도체(complementary metal-oxide semiconductor)(CMOS) 공정을 이용하여 절연체 상 반도체(semiconductor-on-insulator)(SOI) 또는 벌크 실리콘 웨이퍼 상에 실리콘 또는 폴리실리콘 및 게르마늄 물질과 함께 형성된다. 광 소자를 CMOS 공정 흐름에 통합시키는 한 가지 종래의 방법이 CMOS 공정 라인의 프론트-엔드에서 발생한다. 일반적인 프론트-엔드 방법은 우선 기판 상에 광 소자를 조립하고, 그 후 광 소자 및 전자 소자에 대해 서로 다른 실리콘 물질 두께를 갖는 단일 CMOS 웨이퍼 상에 전자 소자(가령, 트랜지스터)를 조립하는 것을 포함한다.
광 소자의 프론트-엔드 집적은 광 소자를 만들기 위해 필요한 추가 공정 단계가 종래의 CMOS 공정 흐름과 간접을 일으킬 수 있다는 문제점을 가진다. 예를 들어, 절연체 상 반도체(SOI) 웨이퍼 상의 광 소자의 프론트-엔드 집적은 <1㎛ 두께의 매립 옥사이드 물질과 <200㎚ 두께 실리콘 물질을 갖는 기판을 이용할 수 있는 표준 CMOS 전자 SOI 소자에 비교할 때 더 두꺼운(>1㎛) 매립 옥사이드 물질 및 더 두꺼운(>200㎚) 실리콘 물질을 갖는 기판을 필요로 한다. 종래의 CMOS 공정 라인의 프론트-엔드에서 광 소자를 만들기 위해 필요한 추가 공정 단계가 CMOS 전자 소자와 광 소자 모두를 포함하는 집적 회로의 전체 복잡도와 비용을 증가시킨다. 덧붙여, CMOS 전자 소자 및 광 소자의 사이드-바이-사이드 레이아웃을 위해, 광 소자가 전자 소자를 위해 사용될 수 있는 귀중한 기판 공간을 차지한다. CMOS 공정 라인의 백-엔드에서 광 소자를 만들기 위한 개선된 방법이 요구된다.
도 1은 개시된 실시예에 따라 단일 CMOS 반도체 구조물에서 제작되는 광 소자 및 전자 소자를 도시한다.
도 2는 개시된 실시예에 따라 단일 CMOS 반도체 구조물 내에 광 소자 및 전자 소자를 형성하는 방법을 도시한다.
도 3은 개신된 실시예에 따라 단일 CMOS 반도체 구조물 내에 광 소자 및 전자 소자를 형성하는 방법을 도시한다.
다음의 상세한 설명에서, 본원의 일부를 형성하며 실시될 수 있는 특정 실시예를 예시로서 나타낸 도면이 참조된다. 도면 전체에 걸쳐 유사한 도면부호가 유사한 요소를 나타냄이 이해되어야 한다. 이들 실시예는 해당 분야의 통상의 기술자가 이를 만들고 사용할 수 있도록 충분히 상세하게 기재되고 개시된 특정 실시예의 구조적, 물질적, 전기적, 및 절차적 변화가 만들어질 수 있고 이들 중 일부만 이하에서 상세히 기재됨이 이해되어야 한다.
용어 "웨이퍼"와 "기판"은 상호 교환 가능한 것으로 이해되어야 하며 실리콘, 절연체 상 실리콘(silicon-on-insulator)(SOI) 또는 사파이어 상 실리콘(silicon-on-sapphire)(SOS), 도핑된 및 도핑되지 않은 반도체, 베이스 반도체 토대에 의해 지지되는 실리콘의 에피택시 물질, 및 그 밖의 다른 반도체 구조물을 포함하는 것으로 이해되어야 한다. 덧붙여, 하기의 기재에서 "웨이퍼" 및 "기판"이 언급될 때, 베이스 반도체 구조물 또는 토대 내에 또는 상에 영역, 접합부, 또는 물질 층을 형성하기 위해 이전 공정 단계들이 사용됐었을 수 있다. 덧붙여, 반도체는 실리콘 기반일 필요는 없으며, 실리콘-게르마늄, 게르마늄, 갈륨 아르세나이드, 또는 그 밖의 다른 알려진 반도체 물질 기반일 수 있다.
광 소자는 광 도파로, 변조기, 복조기, 및 광검출기(photodetector), 그 밖의 다른 소자를 포함한다. 종종 능동 광 소자(가령, 광검출기, 변조기) 기능을 만들고 옴 전기 접촉 영역을 만들기 위해 도펀트 활성화(dopant activation)가 요구된다. 능동 광 소자 및 옴 접촉부를 형성하기 위해, 도펀트 원자를 반도체 물질 내로 주입시키고, 그 후 이를 가열함으로써 상기 도펀트를 활성화시킴으로써, 도펀트 영역이 형성될 수 있다. 고온(가령, 섭씨 1000°)에서 도펀트를 가열하는 것은, 금속화 물질이 이러한 고온에 의해 손상될 수 있기 때문에, 도펀트 활성화 단계가 금속화 전에 발생할 것을 필요로 한다. 이러한 이유로, 광 소자가 CMOS 회로의 완성 전에 그리고 광 소자와 전기 소자를 인터커넥트하는 금속화 물질의 형성 전에 형성된다. CMOS 회로의 금속화가 발생한 후 CMOS 공정 흐름의 백-엔드에서 능동 광 소자를 만드는 데 한 가지 과제는 CMOS 회로 및 금속화의 손상을 방지하기 위해 저온(즉, 섭씨 약 500° 미만)을 이용하는 것이다.
본 명세서에 종래의 CMOS 공정 흐름에서 광 소자를 집적하는 방법이 이하에서 기재된다. 개시된 실시예는 CMOS 공정 흐름의 백-엔드에서 단일 CMOS 반도체 구조물 상에 광 소자 및 전자 소자를 형성하는 방법과 관련된다. 광 소자는, 낮은 증착 온도 폴리실리콘, 게르마늄 및 실리콘-게르마늄 기법, 가령, 물리 기상 증착(PVD), 화학 기상 증착(CVD), 플라스마 보강 CVD(PECVD), 스핀-온-글래스(spin-on-glass)(SOG) 증착, 및 원자층 증착(atomic layer deposition)(ALD)을 이용해 형성된다. 또한 개시된 실시예는 도펀트 활성화 및 능동 광 소자 및 옴 접촉부의 어닐링을 위해 적어도 5분 그리고 최대 2시간 동안 섭씨 약 200° 내지 섭씨 약 500°, 바람직하게는 섭씨 약 300° 내지 섭씨 약 400°의 온도에서의 전자기, 가령, 마이크로파 어닐링을 이용한다. 어닐링되는 영역 내로 흡수될 수 있는 임의의 적절한 에너지가 적절하지만, 편의상, 이하에서 마이크로파 에너지만 언급될 것이다. 마이크로파 기반 활성화 기법은 프론트 엔드 CMOS 회로 또는 금속화부를 손상시키지 않으면서 원하는 도펀트, 가령, 인, 안티모니, 갈륨, 붕소 또는 비소 도핑 원자를 효과적으로 활성화시킴으로써, CMOS 공정 흐름의 백-엔드에서의 광 소자의 완전한 집적을 가능하게 한다. 또한 저온 마이크로파 어닐링은 기판 내 도펀트 영역 밖으로의(내부로의) 도펀트 이주를 덜 야기하고 도펀트 영역 내 더 균일한 도펀트 농도를 도출한다.
종래의 CMOS 공정에서 광 소자의 프론트-엔드 집적에서, 광 소자는 일반적으로 실리콘 또는 폴리실리콘, 게르마늄 및 실리콘-게르마늄 물질을 이용해 SOI 웨이퍼 상에서 형성된다. 백-엔드 집적의 또 다른 이점은 광 소자가 추가 물질로 형성될 수 있다는 것이며, 다른 경우라면, 프론트 엔드 공정이 사용되는 경우 전자 소자를 형성하기 위해 사용되는 공정에 의해 영향 받을 수 있다. 예들 들어, 백 엔드 공정은 폴리실리콘보다 더 우수한 광자 전파를 갖는 실리콘 니트라이드를 사용하여 광 소자를 형성할 수 있다. 예를 들어, 프론트 엔드에서 SOI 상에 실리콘 또는 폴리실리콘을 이용해 도파로 코어를 제작하는 대신, 백 엔드 공정에서 도파로 코어가 SOI 기판 상에서 실리콘 니트라이드로 형성될 수 있다.
유사한 도면부호가 유사한 요소를 지시하는 도면을 참조하면, 도 1은 동일한 지지 기판 상에 CMOS 집적 구조물(103) 위에 광 집적 구조물(photonics integrated structure)(101)을 형성하기 위해 백 엔드 공정을 이용해 제작된 반도체 구조물(200)의 하나의 실시예의 부분 횡단면도를 나타낸다. 광 집적 구조물(101)은 예를 들어 광검출기(250A) 및 변조기(250B)를 포함한다. 하나의 예를 들면, CMOS 집적 구조물(103)은 트랜지스터로서 제작된 전자 소자(210)를 포함한다. 상기 반도체 구조물(200)은 도 2 및 3과 관련하여 이하에서 기재된 방법을 이용해 제작될 수 있다.
상기 CMOS 집적 구조물(103)은 실리콘 기판(201), 가령, 실리콘 다이옥사이드로 형성된 매립 옥사이드(BOX)(202), 실리콘 제작 물질(203), 게이트 옥사이드 물질(219), 및 절연(가령, SiO2 또는 BPSG) 물질(205)을 포함하는 인터층 유전체(ILD) 금속화 구조물을 형성하는 교대하는 금속 및 절연 물질, 금속 1 물질(214), 절연(가령, SiO2 또는 BPSG) 물질(206), 금속 2 물질(215), 마지막 금속 물질(216), 및 부동태화 물질(218), 가령, 실리콘 다이옥사이드를 포함한다. 금속 1 물질(214)은 비아 전도체(217)에 의해 전자 소자(210)의 기저 회로에 연결된다.
전자 소자(210)는 해당 분야의 통상의 기술자에게 알려진 바와 같은 종래의 CMOS 공정을 이용하여 형성된다. 상기 전자 소자(210)는 도핑된 우물(doped well)(204), 드레인(211A) 및 소스(211B) 주입 영역, 게이트 옥사이드 물질(219) 위의 게이트(212) 및 게이트 측벽 스페이서(213)를 포함한다. 상기 게이트(212)는 폴리실리콘으로 형성될 수 있다. 절연 물질(205)은 매립 옥사이드(BOX)(202) 및 실리콘 기판(201)에 의해 지지되는 전자 소자(210) 및 실리콘 제작 물질(203)을 덮는다.
이 실시예에서, 광 집적 구조물(101)은 CMOS 집적 구조물(103) 위에 형성되며 부동태화 층(218) 위에 형성된 반도체 물질(251), 옥사이드 물질(252), 광검출기(250A) 및 변조기(250B)가 그 내부에 형성되는 실리콘 제작 물질(253)을 포함한다. 교대하는 금속 및 절연 물질은 절연(가령, SiO2 또는 BPSG) 물질(255), 금속 1 물질(264), 절연(가령, SiO2 또는 BPSG) 물질(256), 금속 2 물질(265), 마지막 금속 물질(266), 및 부동태화 물질(267)을 포함하는 ILD 금속화 구조물을 형성한다.
광검출기(250A)는 실리콘 도파로 코어(253a) 위에 형성되는 도핑된 또는 도핑되지 않은 게르마늄(Ge) 또는 실리콘-게르마늄(SiGe) 영역(262)을 포함할 수 있다. 도파로 코어(253a)는 옥사이드 물질(252)로 형성된 클래딩 물질 및 실리콘 다이옥사이드(SiO2)로 형성될 수 있는 격리 영역(isolation region)(254)로 둘러싸인다. 또한 절연 물질(255)은 도파로 코어(253a)를 위한 클래딩의 일부로서 기능한다. 변조기(250B)는 도파로 코어(253b) 내에서 광을 변조하기 위해 전도체(257)에 의해 연결될 수 있는 추가로 도핑된 영역(261A 및 261B)을 갖는 도핑된 또는 도핑되지 않은 실리콘 도파로 코어(253b)로서 형성될 수 있다. 또한 광 구조물(101)은 옴 접촉부 영역(263A, 263B, 263C, 및 263D)을 포함하여, 전도체(257)와의 옴 접촉부를 형성할 수 있다. 예를 들어, 상기 옴 접촉부 영역은 고도로 도핑된 접촉부 영역 또는 저온 형성된 실리사이드, 가령, Ni 실리사이드일 수 있다. 예를 들어, 광검출기(250A)는 옴 접촉부 영역(263A 및 263B)을 포함하고 변조기(250B)는 옴 접촉부(263C 및 263D)를 포함할 수 있다. 옴 접촉부 영역(263C 및 263D)은 도핑된 영역(261A 및 261B)의 도펀트 양보다 많은 도펀트 양을 포함할 수 있다. 게르마늄 (Ge) 또는 실리콘-게르마늄 (SiGe) 영역(262)이 광검출기 소자(250A) 내 광 검출기로서 사용될 수 있다. 옥사이드 물질(252) 및 추가 절연체(255) 및 격리 영역(254) 물질이 실리콘 도파로 코어(253a 및 253b)를 둘러싸는 클래딩 물질로서 사용될 수 있다. 절연 물질(255)은 실리콘 다이옥사이드 또는 BPSG일 수 있으며, 광 소자(250) 및 실리콘 제작 물질(253)을 덮는다. 상기 광 소자(250)는 CMOS 전자 소자(210)가 그 위에 형성되는 매립 옥사이드(BOX) 물질(202)(<1㎛) 및 실리콘 물질(203)(<200㎚)에 비교할 때 더 두꺼운(>1㎛) 옥사이드 물질(252) 및 더 두꺼운(>200㎚) 실리콘 제작 물질(253)을 이용할 수 있다.
광 구조물(101) 내 교대하는 금속 및 절연 물질이 절연(가령, SiO2 또는 BPSG) 물질(255, 256), 금속 1 물질(264), 금속 2 물질(265), 마지막 금속 물질(266) 및 부동태화 물질(267)을 포함하는 ILD 금속화 구조물을 형성한다. 절연 물질(255, 256)은 광검출기(250A) 및 변조기(250B)의 전기 및 광 격리를 제공한다. 금속 1 물질(264)은 전도체(257)에 의해 기저 광 소자로 연결된다. 구조물(101)과 구조물(103) 사이의 전기적 연결의 하나의 예로서, 접촉부(207)는 집적 광 구조물(101)의 금속 1 물질(264)을 집적 CMOS 구조물(103)의 마지막 금속 물질(216)에 연결한다. 반도체 구조물(200)은 임의의 개수의 전자 및 광 소자 및 구조물(101)과 구조물(103) 사이의 임의의 개수의 접촉부(207)를 포함하여 반도체 구조물(200) 내 원하는 전자 및 광 배열을 형성하도록 제작될 수 있음이 자명할 것이다.
도 1은 도파로(253a, 253b) 및 연관된 광검출기(250A) 및 변조기(250B)를 포함하는 광 회로를 대표한 것에 불구하다. 그러나 기저 집적 CMOS 회로(103)에 영향을 미치지 않는 섭씨 약 200° 내지 섭씨 약 500°의 온도 범위, 바람직하게는 섭씨 약 300° 내지 섭씨 약 400°의 온도 범위에서 도펀트를 활성화시키기 위해 마이크로파 활성화 에너지를 이용하는 기재된 제작 기법을 이용해 임의의 광 소자가 집적 CMOS 구조물(103) 위에 집적될 수 있다.
도 2는 개시된 실시예에 따라 CMOS 공정 흐름에서 광 소자의 백-엔드 집적을 이용하는 반도체 구조물(200)을 형성하는 방법을 도시한다. 하나 이상의 전자 소자(210)를 갖는 CMOS 반도체 구조물(103)이 알려진 CMOS 공정 기법을 이용해 단계(300)에서 먼저 제작된다. CMOS 구조물은 부동태화 층(218)을 포함한다. 단계(310)에서, 광 구조물(101)에 대한 연관된 물질이 CMOS 집적 구조물(103) 위에 증착된다. 이들은 반도체 물질(251), 가령, 실리콘, 옥사이드 물질(252), 및 제작 반도체 물질(253)을 포함한다. 상기 반도체 물질(251)은 부동태화 보호 물질(218) 위에 증착되고, 광 소자(250)를 형성하기 위한 적절한 두께(가령, >1㎛)를 갖는 옥사이드 물질(252)은 반도체 물질(251) 위에 증착되며, 광 소자(250)에 대한 적절한 두께(가령, >200㎚)를 갖는 실리콘 제작 물질(253)이 옥사이드 물질(252) 위에 증착된다. 물질(251, 252 및 253)은 저온 증착 기법, 예를 들어, 물리 기상 증착(PVD), 화학 기상 증착(CVD), 플라스마 보강 CVD(PECVD), 스핀-온-글래스(SOG) 증착, 및 원자 층 증착(ALD)에 의해 증착된다. 단계(320)에서, 예를 들어, 포토리소그래피, 에칭, 충전(fill) 및 화학-기계 연마(CMP)를 이용해, 격리 영역(254), 가령, 트렌치 격리 영역(trench isolation region)이 실리콘 제작 물질(253)에서 형성 및 에칭되어 반도체 제작 물질(253) 내 원하는 위치에 격리 영역(254)을 만들 수 있다. 격리 영역(254)은 광 소자, 가령, 광검출기(250A) 및 변조기(250B)가 형성될 제작 물질(253) 내 영역을 형성한다.
단계(330)에서, 변조기(250B)에 대한 2개의 격리 영역(254) 사이의 실리콘 코어(253b) 내에 도핑된 영역(261A, 261B)이 형성된다. 이 단계에서 그 밖의 다른 도핑된 영역이 또한 형성될 수 있으며, 가령, 실리콘 도파로 코어(253b)가 도핑될 수 있고 옴 접촉부 영역(263C, 263D)이 또한 도핑될 수 있다. 일반적으로 도펀트는 집적 회로를 형성하는 데 사용되는 것, 가령, 붕소, 인, 안티모니, 갈륨 및 비소이다. 예를 들어 도핑된 영역이 cm3당 약 1×1016 내지 약 1×1021의 원자 농도로 형성될 수 있다. 도핑된 영역의 형성에 추가로, 저온 실리사이드 물질, 가령, Ni가 더 도포되어 옴 접촉부 영역을 형성할 수 있다. 단계(340)에서, 저온 마이크로파 어닐링을 이용해 도핑된 영역(261A 및 261B)뿐 아니라 그 밖의 다른 임의의 도핑된 영역 및 실리사이드 물질이 어닐링되고 활성화된다. 능동 광 소자를 형성할 때, 도펀트 원자를 반도체 물질(가령, 실리콘 제작 물질(253)) 내로 주입하고, 그 후 이를 가열함으로써 도펀트를 활성화시킴으로써 도펀트 영역(가령, 261A 및 261B)이 형성된다. 예를 들어 약 1300W에서 약 2.45㎓에서 동작하는 캐비티 어플리케이터 마이크로파 시스템(cavity applicator microwave system) 내부에서 마이크로파 어닐링에 의해 또는 약 1.5 내지 약 8.5㎓의 파장 범위에서 동작하는 마이크로파 시스템에 의해 능동 광 소자의 도펀트 활성화가 이뤄질 수 있지만, 임의의 적합한 주파수 및 전력이 사용될 수 있다. 도펀트를 활성화시키기 위해 저온을 이용하는 것이 기저 CMOS 구조물(103)을 교란시키지 않을 것이다. 마이크로파 시스템은 제작된 CMOS 구조물(103) 및 부분적으로 완성된 광 집적 구조물(101)을 적어도 약 5분 동안 그리고 최대 약 2시간 동안 섭씨 약 200° 내지 섭씨 약 500°, 바람직하게는 섭씨 약 300° 내지 섭씨 약 400°의 온도까지 가열한다. 마이크로파 기반 활성화 기법은 원하는 도펀트, 가령, 인, 안티모니, 갈륨, 붕소 또는 비소 도핑 원자를 효과적으로 활성화시킬 수 있다. 추가 도핑 영역 및 옴 접촉부 영역을 형성 및 활성화하기 위해 단계(330 및 340)가 반복될 수 있다.
단계(350)에서, 게르마늄(또는 실리콘-게르마늄) 물질(262)이 실리콘 물질(253a) 상에 증착되고, 이는 차후 도파로 코어로서 기능한다. 또한 옴 접촉부(263A, 263B)는 물질(262) 내로 주입되거나 도포된다. 단계(360)에서, 백-엔드 절연체(255, 256)를 이용해 중간층 유전체 구조물(interlayer dielectric structure)(ILD)이 형성되고 금속 물질(가령, 금속 1 물질(264), 비아 1 물질(256), 금속 2 물질(265) 및 마지막 금속 물질(266) 중 하나 이상)이 증착되어 광 반도체 구조물의 연관된 물질들 사이에 그리고 광 소자(250)에 전기 접촉부를 제공할 수 있다. 또한, 구조물(101)과 구조물(103) 사이에 접촉부(207)가 형성된다. 절연체(255)는, 격리 영역(254) 및 옥사이드 물질(252)과 함께, 도파로 코어(253a) 주변에 클래딩을 제공한다. 광검출기 물질(262)은 도파로 코어(253a) 내부에서 광을 검출한다. ILD의 모든 금속 및 절연체 층이 형성된 후, 단계(370)에서, 부동태화 물질(267)이 CMOS 반도체 구조물(200) 상에 증착된다.
이 실시예에서, 단계(340)에서의 마이크로파 어닐링 공정을 이용한 도펀트 활성화 및 어닐링이 반도체 및 옥사이드 물질(251, 252, 253) 단계(310), 격리 영역(254) 단계(320) 및 도핑된 영역 단계(330) 후에, 그러나 게르마늄(또는 실리콘-게르마늄) 증착 단계(360) 전에 발생한다. 도 3에 도시된 바와 같은 또 다른 실시예에서, 저온 마이크로파 어닐링을 이용한 도펀트 활성화 및 어닐링 단계는 게르마늄(또는 실리콘-게르마늄) 증착 단계(430) 후에 그리고 옴 접촉부 영역(263A, 263B, 263C, 263D)이 도핑 또는 도포된 후에 발생한다. 도 3에서, 단계(300 내지 320)는 도 2의 동일한 번호의 단계와 동일하다. 단계(430)에서, 게르마늄(또는 실리콘-게르마늄) 물질(262)이 실리콘 제작 물질(253) 상에 증착된다, 즉, 도파로(253a) 상에 증착된다. 단계(440)에서, 도 2를 참조하여 앞서 기재된 바와 같이 옴 접촉부 영역(263C 및 263D)에 추가로 변조기(250B)에 대해 실리콘 코어(253b) 내에 도핑된 영역(261A, 261B)을 형성하는 것에 추가로, 또한 옴 접촉부 영역(263A 및 263B)이 게르마늄 물질(262) 내에 형성되어 옴 전기 접촉 영역을 형성할 수 있다. 예를 들어, 옴 접촉부 영역(263A 및 263B)은, 예를 들어, 고도로 도핑된 영역 및 저온 형성된 실리사이드, 가령, Ni 실리사이드일 수 있다. 실리콘 코어(253b)도 역시 도핑될 수 있다. 상기 도펀트는 집적 회로를 형성하는 데 일반적으로 사용되는 것, 가령, 붕소, 인, 안티모니, 갈륨 및 비소이다. 단계(450)에서, 도 2의 단계(340)와 관련하여 앞서 기재된 바와 같이 저온 마이크로파 어닐링을 이용해, 실리콘 코어(253b), 도핑된 영역(261A 및 261B), 및 옴 접촉부 영역(263A, 263B, 263C, 및 263D)이 활성화된다. 도 3의 단계(370 및 380)가 도 2에서의 동일한 번호의 단계와 동일하다.
개시된 실시예가 상세히 기재되었지만, 본 발명은 개시된 실시예에 한정되지 않음이 쉽게 이해될 것이다. 오히려, 개시된 실시예는 본 명세서에 기재되지 않은 임의의 개수의 변동, 대안, 치환, 또는 균등 배열을 포함하도록 수정될 수 있다. 예를 들어, 도 1은 예시적 광검출기, 도파로 및 변조기 및 예시적 트랜지스터를 포함하여 제작되는 반도체 구조물(200)의 부분 횡단면도를 도시하지만, 개시된 실시예는 그 밖의 다른 광 소자, 가령, 변조기, 복조기, 광원 및 그 밖의 다른 전자 소자, 가령, 트랜지스터, 다이오드 등을 갖도록 반도체 구조물(200)을 제작하도록 수정될 수 있음이 자명할 것이다. 따라서 본 발명은 개시된 실시예에 의해 제한되지 않으며 이하의 특허청구범위에 의해서만 제한된다.

Claims (21)

  1. 집적 구조물을 제작하기 위한 방법으로서, 상기 방법은
    전자 소자를 포함하는 제작된 CMOS 구조물 위에 제 1 반도체 물질을 형성하는 단계,
    제 1 반도체 물질과 연관된 광 소자를 제작하는 단계 - 상기 광 소자는 연관된 도핑된 영역을 가짐 - , 및
    도핑된 영역이 섭씨 약 200 내지 약 500도의 온도까지로 가열되도록 마이크로파 에너지에 의해 상기 도핑된 영역을 활성화하는 단계
    를 포함하는, 집적 구조물을 제작하기 위한 방법.
  2. 제1항에 있어서, 상기 도핑된 영역은 섭씨 약 300 내지 약 400도의 온도까지로 가열되는, 집적 구조물을 제작하기 위한 방법.
  3. 제1항에 있어서, 제 1 반도체 물질은 CMOS 구조물의 전자 소자가 형성되는 제 2 반도체 물질보다 두꺼운, 집적 구조물을 제작하기 위한 방법.
  4. 제3항에 있어서, 제 1 반도체 물질에 인접하게 매립 옥사이드 물질을 형성하는 단계를 더 포함하는, 집적 구조물을 제작하기 위한 방법.
  5. 제4항에 있어서, 상기 매립 옥사이드 물질은 1마이크로미터 이상의 두께를 갖고 제 1 반도체 물질은 200나노미터 이상의 두께를 갖는, 집적 구조물을 제작하기 위한 방법.
  6. 제1항에 있어서, 상기 광 소자는 광검출기를 포함하는, 집적 구조물을 제작하기 위한 방법.
  7. 제1항에 있어서, 상기 광 소자는 도파로, 변조기, 복조기, 및 광검출기로 구성된 군 중에서 선택된 소자인, 집적 구조물을 제작하기 위한 방법.
  8. 제1항에 있어서, 상기 도펀트는 적어도 약 5분 동안 마이크로파 에너지에 의해 가열되는, 집적 구조물을 제작하기 위한 방법.
  9. 제8항에 있어서, 상기 도펀트는 최대 약 2시간 동안 마이크로파 에너지에 의해 가열되는, 집적 구조물을 제작하기 위한 방법.
  10. 제1항에 있어서, 활성화하는 단계는 약 1.5㎓ 이상, 약 8.5㎓ 이하의 주파수의 마이크로파를 이용해 발생하는, 집적 구조물을 제작하기 위한 방법.
  11. 제1항에 있어서, 활성화하는 단계는 약 2.45㎓의 주파수 및 1300W의 전력의 마이크로파를 이용해 발생하는, 집적 구조물을 제작하기 위한 방법.
  12. 제1항에 있어서,
    제 1 반도체 물질내에 도파로를 그리고 상기 도파로와 연관하여 광검출기 물질을 형성하는 단계
    를 더 포함하는, 집적 구조물을 제작하기 위한 방법.
  13. 제12항에 있어서, 활성화하는 단계는 광검출기 물질을 형성하기 전에 발생하는, 집적 구조물을 제작하기 위한 방법.
  14. 제12항에 있어서, 활성화하는 단계는 광검출기 물질을 형성한 후 발생하는, 집적 구조물을 제작하기 위한 방법.
  15. 제13항에 있어서, 상기 광검출기 물질은 게르마늄 및 실리콘-게르마늄 중 하나를 포함하는, 집적 구조물을 제작하기 위한 방법.
  16. 제1항에 있어서, 금속화 물질과 광 소자 사이에 전기적 연결을 형성하는 단계를 더 포함하는, 집적 구조물을 제작하기 위한 방법.
  17. 제16항에 있어서, CMOS 구조물 내 전자 소자와 연관된 금속화 물질과 상기 광 소자와 연관된 금속화 물질 사이에 전기적 연결을 형성하는 단계를 더 포함하는, 집적 구조물을 제작하기 위한 방법.
  18. 반도체 구조물로서,
    제 1 반도체 구조물 상에 제작된 적어도 하나의 전자 소자, 및
    적어도 하나의 전자 소자 위에 형성되는 반도체 물질 내에 제작되는 적어도 하나의 광 소자 - 상기 적어도 하나의 광 소자는 연관된 마이크로파 활성화된 도펀트 주입물을 가짐 - 를 포함하는, 반도체 구조물.
  19. 제18항에 있어서, 상기 반도체 물질은 제 1 반도체 기판 위에 형성된 절연체 상 실리콘(silicon on insulator) 기판의 일부분을 형성하는, 반도체 구조물.
  20. 제18항에 있어서, 상기 광소자는 도파로, 변조기, 복조기, 및 광검출기로 구성된 군 중에서 선택되는, 반도체 구조물.
  21. 제18항에 있어서, 광소자와 적어도 하나의 전자 소자 사이의 전기적 연결을 더 포함하는, 반도체 구조물.
KR1020157006969A 2012-08-31 2013-08-15 광 구조물을 형성하는 방법 KR101742407B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/600,779 US10094988B2 (en) 2012-08-31 2012-08-31 Method of forming photonics structures
US13/600,779 2012-08-31
PCT/US2013/055135 WO2014035679A1 (en) 2012-08-31 2013-08-15 Method of forming photonics structures

Publications (2)

Publication Number Publication Date
KR20150046188A true KR20150046188A (ko) 2015-04-29
KR101742407B1 KR101742407B1 (ko) 2017-05-31

Family

ID=49035945

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157006969A KR101742407B1 (ko) 2012-08-31 2013-08-15 광 구조물을 형성하는 방법

Country Status (8)

Country Link
US (4) US10094988B2 (ko)
EP (1) EP2891180B1 (ko)
JP (1) JP6154903B2 (ko)
KR (1) KR101742407B1 (ko)
CN (1) CN104769716B (ko)
SG (1) SG11201500915SA (ko)
TW (1) TWI520313B (ko)
WO (1) WO2014035679A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10094988B2 (en) 2012-08-31 2018-10-09 Micron Technology, Inc. Method of forming photonics structures
CN106159036A (zh) * 2015-04-13 2016-11-23 中兴通讯股份有限公司 一种硅基光电子系统的制备方法
US9874693B2 (en) 2015-06-10 2018-01-23 The Research Foundation For The State University Of New York Method and structure for integrating photonics with CMOs
JP6533131B2 (ja) * 2015-09-04 2019-06-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6545608B2 (ja) * 2015-11-30 2019-07-17 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10431670B2 (en) * 2016-12-15 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Source and drain formation technique for fin-like field effect transistor
US11295962B2 (en) 2018-07-10 2022-04-05 The Board Of Trustees Of The Leland Stanford Junior University Low temperature process for diode termination of fully depleted high resistivity silicon radiation detectors that can be used for shallow entrance windows and thinned sensors
US10649140B1 (en) * 2019-03-04 2020-05-12 Globalfoundries Inc. Back-end-of-line blocking structures arranged over a waveguide core
US11906351B1 (en) * 2019-09-25 2024-02-20 National Technology & Engineering Solutions Of Sandia, Llc Monolithic integration of optical waveguides with metal routing layers

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US200084A (en) * 1878-02-05 Improvement in bee-hives
KR960008503B1 (en) * 1991-10-04 1996-06-26 Semiconductor Energy Lab Kk Manufacturing method of semiconductor device
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
US5304509A (en) * 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JP2988353B2 (ja) 1995-03-13 1999-12-13 日本電気株式会社 光検出用の半導体装置及びその製造方法
US6018187A (en) 1998-10-19 2000-01-25 Hewlett-Packard Cmpany Elevated pin diode active pixel sensor including a unique interconnection structure
EP2259299A1 (en) * 1999-10-14 2010-12-08 Shin-Etsu Handotai Co., Ltd. Method for manufacturing SOI wafer, and SOI wafer
US6387720B1 (en) 1999-12-14 2002-05-14 Phillips Electronics North America Corporation Waveguide structures integrated with standard CMOS circuitry and methods for making the same
GB0002775D0 (en) * 2000-02-07 2000-03-29 Univ Glasgow Improved integrated optical devices
US6680495B2 (en) * 2000-08-04 2004-01-20 Amberwave Systems Corporation Silicon wafer with embedded optoelectronic material for monolithic OEIC
US6472243B2 (en) * 2000-12-11 2002-10-29 Motorola, Inc. Method of forming an integrated CMOS capacitive pressure sensor
US7038242B2 (en) * 2001-02-28 2006-05-02 Agilent Technologies, Inc. Amorphous semiconductor open base phototransistor array
US20030021515A1 (en) * 2001-07-25 2003-01-30 Motorola, Inc. Semiconductor structure employing a multi-path wave guide to concurrently route signals
WO2003041143A1 (fr) * 2001-11-09 2003-05-15 Semiconductor Energy Laboratory Co., Ltd. Dispositif de traitement par faisceau laser et dispositif semi-conducteur
US6861341B2 (en) * 2002-02-22 2005-03-01 Xerox Corporation Systems and methods for integration of heterogeneous circuit devices
JP2003287636A (ja) * 2002-03-28 2003-10-10 Nec Corp 光機能デバイスおよびその製造方法
US7110629B2 (en) * 2002-07-22 2006-09-19 Applied Materials, Inc. Optical ready substrates
US7043106B2 (en) * 2002-07-22 2006-05-09 Applied Materials, Inc. Optical ready wafers
US20040062465A1 (en) * 2002-10-01 2004-04-01 Woodley Bruce Robert Apparatus and method for measuring optical power as a function of wavelength
US6935792B2 (en) * 2002-10-21 2005-08-30 General Electric Company Optoelectronic package and fabrication method
US6995407B2 (en) * 2002-10-25 2006-02-07 The University Of Connecticut Photonic digital-to-analog converter employing a plurality of heterojunction thyristor devices
JP2004186495A (ja) * 2002-12-04 2004-07-02 Toshiba Corp 半導体装置の製造装置、半導体装置の製造方法、および半導体装置
CA2520972C (en) 2003-04-21 2010-01-26 Sioptical, Inc. Cmos-compatible integration of silicon-based optical devices with electronic devices
US20040235281A1 (en) 2003-04-25 2004-11-25 Downey Daniel F. Apparatus and methods for junction formation using optical illumination
EP1618421A1 (en) * 2003-04-29 2006-01-25 Pirelli & C. S.p.A. Coupling structure for optical fibres and process for making it
US7262117B1 (en) * 2003-06-10 2007-08-28 Luxtera, Inc. Germanium integrated CMOS wafer and method for manufacturing the same
JP2005123513A (ja) 2003-10-20 2005-05-12 Nippon Telegr & Teleph Corp <Ntt> 光検出器
JPWO2005052666A1 (ja) * 2003-11-27 2008-03-06 イビデン株式会社 Icチップ実装用基板、マザーボード用基板、光通信用デバイス、icチップ実装用基板の製造方法、および、マザーボード用基板の製造方法
US7292745B2 (en) * 2004-01-13 2007-11-06 Franklin W. Dabby System for and method of manufacturing optical/electronic integrated circuits
US9813152B2 (en) 2004-01-14 2017-11-07 Luxtera, Inc. Method and system for optoelectronics transceivers integrated on a CMOS chip
US7385167B2 (en) 2004-07-19 2008-06-10 Micron Technology, Inc. CMOS front end process compatible low stress light shield
JP2006133723A (ja) 2004-10-08 2006-05-25 Sony Corp 光導波モジュール及び光・電気複合デバイス、並びにこれらの製造方法
US7098070B2 (en) * 2004-11-16 2006-08-29 International Business Machines Corporation Device and method for fabricating double-sided SOI wafer scale package with through via connections
KR100610016B1 (ko) * 2004-11-18 2006-08-08 삼성전자주식회사 반도체 디바이스 제조를 위한 불순물 원자 활성화 장치 및그 방법
US8294078B2 (en) * 2005-06-24 2012-10-23 The Board Of Trustees Of The University Of Illinois Optically-triggered multi-stage power system and devices
KR100621776B1 (ko) 2005-07-05 2006-09-08 삼성전자주식회사 선택적 에피택셜 성장법을 이용한 반도체 디바이스제조방법
US8110823B2 (en) 2006-01-20 2012-02-07 The Regents Of The University Of California III-V photonic integration on silicon
US7515793B2 (en) * 2006-02-15 2009-04-07 International Business Machines Corporation Waveguide photodetector
US7613369B2 (en) * 2006-04-13 2009-11-03 Luxtera, Inc. Design of CMOS integrated germanium photodiodes
US7574090B2 (en) * 2006-05-12 2009-08-11 Toshiba America Electronic Components, Inc. Semiconductor device using buried oxide layer as optical wave guides
US7670927B2 (en) * 2006-05-16 2010-03-02 International Business Machines Corporation Double-sided integrated circuit chips
US7679157B2 (en) * 2006-08-21 2010-03-16 Powerchip Semiconductor Corp. Image sensor and fabrication method thereof
JP2008066410A (ja) 2006-09-05 2008-03-21 Sony Corp 固体撮像素子及びその製造方法、並びに半導体装置及びその製造方法
WO2008063939A2 (en) * 2006-11-13 2008-05-29 Syngenta Participations Ag Pest detector
US7666723B2 (en) * 2007-02-22 2010-02-23 International Business Machines Corporation Methods of forming wiring to transistor and related transistor
US7781306B2 (en) * 2007-06-20 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate and method for manufacturing the same
JP4486985B2 (ja) * 2007-08-06 2010-06-23 シャープ株式会社 固体撮像装置および電子情報機器
JP2009058888A (ja) 2007-09-03 2009-03-19 Sony Corp 半導体装置およびその製造方法ならびに実装基板
JP5117156B2 (ja) * 2007-10-05 2013-01-09 株式会社日立製作所 半導体装置
WO2009055778A1 (en) * 2007-10-25 2009-04-30 Bae Systems Information And Electronic Systems Integration Inc. Method for manufacturing lateral germanium detectors
US7811844B2 (en) * 2007-10-26 2010-10-12 Bae Systems Information And Electronic Systems Integration Inc. Method for fabricating electronic and photonic devices on a semiconductor substrate
WO2009058470A1 (en) * 2007-10-30 2009-05-07 Bae Systems Information And Electronic Systems Integration Inc. Method for fabricating butt-coupled electro-absorptive modulators
JP5248995B2 (ja) * 2007-11-30 2013-07-31 株式会社半導体エネルギー研究所 光電変換装置の製造方法
JP2009164158A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置及びその製造方法
US7838955B2 (en) * 2007-12-28 2010-11-23 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
KR100898471B1 (ko) 2007-12-28 2009-05-21 주식회사 동부하이텍 이미지센서 및 그 제조방법
US20090188557A1 (en) * 2008-01-30 2009-07-30 Shih-Yuan Wang Photonic Device And Method Of Making Same Using Nanowire Bramble Layer
US7901974B2 (en) * 2008-02-08 2011-03-08 Omnivision Technologies, Inc. Masked laser anneal during fabrication of backside illuminated image sensors
KR100962610B1 (ko) * 2008-03-17 2010-06-11 주식회사 티지솔라 열처리 방법
WO2010004850A1 (ja) 2008-07-07 2010-01-14 日本電気株式会社 光配線構造
EP2151856A1 (en) * 2008-08-06 2010-02-10 S.O.I. TEC Silicon Relaxation of strained layers
US8877616B2 (en) 2008-09-08 2014-11-04 Luxtera, Inc. Method and system for monolithic integration of photonics and electronics in CMOS processes
US7985617B2 (en) 2008-09-11 2011-07-26 Micron Technology, Inc. Methods utilizing microwave radiation during formation of semiconductor constructions
JP5410534B2 (ja) * 2008-10-14 2014-02-05 コーネル・ユニバーシティー 位相シフトを入力波形に対して付与する装置
US8228409B2 (en) * 2008-10-24 2012-07-24 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
US8088667B2 (en) * 2008-11-05 2012-01-03 Teledyne Scientific & Imaging, Llc Method of fabricating vertical capacitors in through-substrate vias
US7838337B2 (en) * 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US7847353B2 (en) * 2008-12-05 2010-12-07 Bae Systems Information And Electronic Systems Integration Inc. Multi-thickness semiconductor with fully depleted devices and photonic integration
US7952096B2 (en) * 2008-12-08 2011-05-31 Omnivision Technologies, Inc. CMOS image sensor with improved backside surface treatment
US8278167B2 (en) * 2008-12-18 2012-10-02 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
EP2200084A1 (en) 2008-12-22 2010-06-23 S.O.I. TEC Silicon Method of fabricating a back-illuminated image sensor
US7927975B2 (en) * 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
US8531565B2 (en) * 2009-02-24 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Front side implanted guard ring structure for backside illuminated image sensor
US9142586B2 (en) * 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
JP5365345B2 (ja) 2009-05-28 2013-12-11 ソニー株式会社 半導体装置の製造方法
US9305779B2 (en) * 2009-08-11 2016-04-05 Bae Systems Information And Electronic Systems Integration Inc. Method for growing germanium epitaxial films
JP2011071482A (ja) * 2009-08-28 2011-04-07 Fujifilm Corp 固体撮像装置,固体撮像装置の製造方法,デジタルスチルカメラ,デジタルビデオカメラ,携帯電話,内視鏡
US8121446B2 (en) * 2009-09-24 2012-02-21 Oracle America, Inc. Macro-chip including a surface-normal device
DE102009047873B4 (de) * 2009-09-30 2018-02-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Optischer Signalaustausch in einem Halbleiterbauelement unter Anwendung monolithischer optoelektronischer Komponenten
US8450804B2 (en) * 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8257995B2 (en) * 2009-12-11 2012-09-04 Twin Creeks Technologies, Inc. Microwave anneal of a thin lamina for use in a photovoltaic cell
CN102812562B (zh) * 2010-01-25 2016-02-24 Lg化学株式会社 光伏组件
WO2011104317A1 (en) * 2010-02-24 2011-09-01 Universiteit Gent Laser light coupling into soi cmos photonic integrated circuit
JP2012008272A (ja) 2010-06-23 2012-01-12 Olympus Imaging Corp 防水機器
US8399292B2 (en) * 2010-06-30 2013-03-19 International Business Machines Corporation Fabricating a semiconductor chip with backside optical vias
JP5812002B2 (ja) 2010-07-16 2015-11-11 日本電気株式会社 受光素子及びそれを備えた光通信デバイス、並びに受光素子の製造方法及び光通信デバイスの製造方法
US8901613B2 (en) * 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
CN102135649B (zh) * 2010-08-04 2012-04-18 华为技术有限公司 光模块制造方法及光模块
US20120034769A1 (en) * 2010-08-05 2012-02-09 Purtell Robert J Low temperature microwave activation of heavy body implants
JP5300807B2 (ja) 2010-09-03 2013-09-25 株式会社東芝 光変調素子
CN102446741B (zh) * 2010-10-07 2016-01-20 株式会社日立国际电气 半导体器件制造方法、衬底处理装置和半导体器件
US8796728B2 (en) * 2010-10-25 2014-08-05 The Board Of Trustees Of The University Of Illinois Photonically-activated single-bias fast-switching integrated thyristor
US8633067B2 (en) * 2010-11-22 2014-01-21 International Business Machines Corporation Fabricating photonics devices fully integrated into a CMOS manufacturing process
US8513037B2 (en) * 2010-12-03 2013-08-20 Bae Systems Information And Electronic Systems Integration Inc. Method of integrating slotted waveguide into CMOS process
WO2012073583A1 (en) 2010-12-03 2012-06-07 Kabushiki Kaisha Toshiba Method of forming an inpurity implantation layer
US8818144B2 (en) * 2011-01-25 2014-08-26 Tyco Electronics Corporation Process for preparing an optical interposer for waveguides
US9146349B2 (en) * 2011-03-31 2015-09-29 Alcatel Lucent Monolithic integration of dielectric waveguides and germanium-based devices
JP5742947B2 (ja) * 2011-09-09 2015-07-01 日本電気株式会社 受光モジュール
US9293641B2 (en) * 2011-11-18 2016-03-22 Invensas Corporation Inverted optical device
US20130336346A1 (en) * 2012-03-05 2013-12-19 Mauro J. Kobrinsky Optical coupling techniques and configurations between dies
US9691869B2 (en) * 2012-04-09 2017-06-27 Monolithic 3D Inc. Semiconductor devices and structures
CN104246569A (zh) * 2012-04-16 2014-12-24 惠普发展公司,有限责任合伙企业 集成光学子组件
US9709740B2 (en) * 2012-06-04 2017-07-18 Micron Technology, Inc. Method and structure providing optical isolation of a waveguide on a silicon-on-insulator substrate
US10094988B2 (en) 2012-08-31 2018-10-09 Micron Technology, Inc. Method of forming photonics structures
GB2507512A (en) * 2012-10-31 2014-05-07 Ibm Semiconductor device with epitaxially grown active layer adjacent a subsequently grown optically passive region
US8796747B2 (en) * 2013-01-08 2014-08-05 International Business Machines Corporation Photonics device and CMOS device having a common gate
KR101691851B1 (ko) * 2013-03-11 2017-01-02 인텔 코포레이션 실리콘 기반 광 집적 회로를 위한 오목 미러를 갖는 저전압 아발란치 광 다이오드
US9323008B2 (en) * 2014-03-25 2016-04-26 Globalfoundries Inc. Optoelectronic structures having multi-level optical waveguides and methods of forming the structures
US9326373B2 (en) * 2014-04-09 2016-04-26 Finisar Corporation Aluminum nitride substrate
US9276160B2 (en) * 2014-05-27 2016-03-01 Opel Solar, Inc. Power semiconductor device formed from a vertical thyristor epitaxial layer structure
US9768330B2 (en) * 2014-08-25 2017-09-19 Micron Technology, Inc. Method and optoelectronic structure providing polysilicon photonic devices with different optical properties in different regions
US9395489B2 (en) * 2014-10-08 2016-07-19 International Business Machines Corporation Complementary metal oxide semiconductor device with III-V optical interconnect having III-V epitaxially formed material
US9423563B2 (en) * 2014-10-20 2016-08-23 International Business Machines Corporation Variable buried oxide thickness for a waveguide

Also Published As

Publication number Publication date
JP6154903B2 (ja) 2017-06-28
KR101742407B1 (ko) 2017-05-31
WO2014035679A1 (en) 2014-03-06
EP2891180B1 (en) 2019-03-13
TW201417247A (zh) 2014-05-01
US20150198775A1 (en) 2015-07-16
US20200348472A1 (en) 2020-11-05
US11886019B2 (en) 2024-01-30
TWI520313B (zh) 2016-02-01
SG11201500915SA (en) 2015-05-28
CN104769716B (zh) 2018-03-09
US10094988B2 (en) 2018-10-09
EP2891180A1 (en) 2015-07-08
CN104769716A (zh) 2015-07-08
US20220381976A1 (en) 2022-12-01
US11402590B2 (en) 2022-08-02
US20180299626A1 (en) 2018-10-18
US10761275B2 (en) 2020-09-01
JP2015535389A (ja) 2015-12-10

Similar Documents

Publication Publication Date Title
US11402590B2 (en) Method of forming photonics structures
US11164980B2 (en) Silicon photonics integration method and structure
US20080173974A1 (en) Semiconductors Device and Method of Manufacturing Such a Device
CN103378096A (zh) 半导体器件及其形成方法
US6784073B1 (en) Method of making semiconductor-on-insulator device with thermoelectric cooler
US10903377B2 (en) Method and optoelectronic structure providing polysilicon photonic devices with different optical properties in different regions
KR20060040711A (ko) 써멀 버짓에 대한 솔루션
US11536914B2 (en) Photodetector array with diffraction gratings having different pitches
US7566630B2 (en) Buried silicon dioxide / silicon nitride bi-layer insulators and methods of fabricating the same
US9589831B2 (en) Mechanisms for forming radio frequency (RF) area of integrated circuit structure
KR102081225B1 (ko) 반도체 장치 및 그 제조방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant