KR20150037534A - 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 Download PDF

Info

Publication number
KR20150037534A
KR20150037534A KR20140123445A KR20140123445A KR20150037534A KR 20150037534 A KR20150037534 A KR 20150037534A KR 20140123445 A KR20140123445 A KR 20140123445A KR 20140123445 A KR20140123445 A KR 20140123445A KR 20150037534 A KR20150037534 A KR 20150037534A
Authority
KR
South Korea
Prior art keywords
gas
pressure
reaction gas
plasma
supplying
Prior art date
Application number
KR20140123445A
Other languages
English (en)
Other versions
KR101612622B1 (ko
Inventor
가즈유키 도요다
유키토모 히로치
데츠오 야마모토
가즈히로 모리미츠
다다시 다카사키
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20150037534A publication Critical patent/KR20150037534A/ko
Application granted granted Critical
Publication of KR101612622B1 publication Critical patent/KR101612622B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 기판 위에 형성되는 막의 특성을 향상시킴과 함께, 제조 스루풋을 향상시킬 수 있는 반도체 장치의 제조 방법을 제공한다. 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법은 기판에 원료 가스를 공급하는 공정과, 플라즈마 생성 영역에 반응 가스를 공급하는 공정과, 상기 플라즈마 생성 영역에 고주파 전력을 공급하는 공정과, 상기 반응 가스를 공급하기 전의 상기 플라즈마 생성 영역 내의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스의 플라즈마를 생성하는 공정을 갖는다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND PROGRAM}
본 발명은 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램에 관한 것이다.
대규모 집적 회로(Large Scale Integrated Circuit: 이하 LSI)의 고집적화에 수반하여 회로 패턴의 미세화가 진행되고 있다.
좁은 면적에 많은 반도체 디바이스를 집적시키기 위해서는, 디바이스의 크기를 작게 해서 형성하여야 하며, 이를 위해서는, 형성하고자 하는 패턴의 폭과 간격을 작게 해야 한다.
최근의 미세화에 의해, 미세 구조의 매립, 특히 세로 방향으로 깊은, 또는 가로 방향으로 좁은 공극 구조(홈)에의 산화물의 매립에 대하여 CVD법에 의한 매립 방법이 기술 한계에 달하고 있다. 또한, 트랜지스터의 미세화에 의해, 얇고 균일한 게이트 절연막이나 게이트 전극의 형성이 요구되고 있다. 또한, 반도체 디바이스의 생산성을 높이기 위하여 기판 1매당의 처리 시간의 단축이 요구되고 있다.
최근의 LSI, DRAM(Dynamic Random Access Memory)나 Flash Memory로 대표되는 반도체 장치의 최소 가공 치수가, 30nm 폭보다 작아지고 있어, 품질을 유지한 상태에서의 미세화나 제조 스루풋 향상이나 처리 온도의 저온화가 곤란하게 되어 있다. 예를 들어, 게이트 절연막이나 게이트 전극의 형성 시에, 원료 가스의 공급·배기, 반응 가스의 공급·배기 및 플라즈마의 생성을 순차적으로 반복하는 성막 방법이 있다. 이 성막 방법에서는, 예를 들어, 플라즈마 생성을 행할 때, 전력 조정·압력 조정·가스 농도 조정 등에 시간을 필요로 하여, 제조 스루풋의 향상에 한계가 있다.
본 발명은 기판 위에 형성되는 막의 특성을 향상시킴과 함께, 제조 스루풋을 향상시키는 것이 가능한 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램을 제공한다.
본 발명의 일 형태에 의하면, 기판에 원료 가스를 공급하는 공정과, 플라즈마 생성 영역에 반응 가스를 공급하는 공정과, 상기 플라즈마 생성 영역에 고주파 전력을 공급하는 공정과, 상기 반응 가스를 공급하기 전의 상기 플라즈마 생성 영역 내의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스의 플라즈마를 생성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면, 기판을 수용하는 처리실과, 상기 기판에 원료 가스를 공급하는 원료 가스 공급부와, 상기 기판에 반응 가스를 공급하는 반응 가스 공급부와, 상기 반응 가스를 공급받아, 상기 반응 가스를 플라즈마화하는 플라즈마 생성 영역과, 상기 플라즈마 생성 영역에 고주파 전력을 공급하는 여기부와, 상기 반응 가스를 공급하기 전의 상기 플라즈마 생성 영역의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스를 플라즈마화시키도록, 상기 반응 가스 공급부와 상기 여기부를 제어하는 구성을 갖는 제어부를 갖는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면, 기판에 원료 가스를 공급시키는 수순과, 플라즈마 생성 영역에 반응 가스를 공급시키는 수순과, 상기 플라즈마 생성 영역에 고주파 전력을 공급시키는 수순과, 상기 반응 가스를 공급시키기 전의 상기 플라즈마 생성 영역 내의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스의 플라즈마를 생성시키는 수순을 컴퓨터에 실행시키도록 기록 매체에 저장된 프로그램이 제공된다.
본 발명에 따른 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램에 의하면, 기판 위에 형성되는 막의 특성을 향상시킴과 함께, 제조 스루풋을 향상시키는 것이 가능하게 된다.
도 1은 본 발명의 일 실시 형태에 따른 기판 처리 장치의 개략 구성도이다.
도 2는 본 발명의 실시 형태에서 바람직하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이다.
도 3은 본 발명의 일 실시 형태에 따른 기판 처리 공정을 나타내는 흐름도이다.
도 4는 본 발명의 일 실시 형태에 따른 기판 처리 공정의 가스 공급·배기·고주파 전력 공급의 시퀀스 예이다.
도 5는 본 발명의 다른 실시 형태에 따른 기판 처리 공정의 가스 공급·배기·고주파 전력 공급의 시퀀스 예이다.
도 6은 본 발명의 N2 가스의 방전 개시 특성을 도시하는 도면이다.
도 7은 본 발명의 다른 실시 형태에 따른 기판 처리 장치의 개략 구성도이다.
이하에 본 발명의 실시 형태에 대하여 설명한다.
<본 발명의 일 실시 형태>
이하, 본 발명의 일 실시 형태를 도면을 참조하여 설명한다.
(1) 기판 처리 장치의 구성
먼저, 본 발명의 일 실시 형태에 따른 기판 처리 장치(100)에 대하여 설명한다.
기판 처리 장치(100)는 고유전율 절연막 형성 유닛이며, 도 1에 도시되어 있는 바와 같이, 매엽식 기판 처리 장치로서 구성되어 있다.
도 1에 도시하는 바와 같이, 기판 처리 장치(100)는 처리 용기(202)를 구비하고 있다. 처리 용기(202)는, 예를 들어 수평 단면이 원형이며 편평한 밀폐 용기로서 구성되어 있다. 또한, 처리 용기(202)는, 예를 들어 알루미늄(Al)이나 스테인리스(SUS) 등의 금속 재료, 또는, 석영에 의해 구성되어 있다. 처리 용기(202) 내에는, 기판으로서의 실리콘 웨이퍼 등의 웨이퍼(200)를 처리하는 처리 공간(처리실)(201), 반송 공간(203)이 형성되어 있다. 처리 용기(202)는, 상부 용기(202a)와 하부 용기(202b)로 구성된다. 상부 용기(202a)와 하부 용기(202b)의 사이에는 구획판(204)이 설치된다. 상부 용기(202a)에 둘러싸인 공간으로서, 구획판(204)보다 상방의 공간을 처리실(201)이라 칭하고, 하부 용기(202b)에 둘러싸인 공간으로서, 구획판(204)보다 하방의 공간을 반송 공간(203)이라 칭한다.
하부 용기(202b)의 측면에는, 게이트 밸브(205)에 인접한 기판 반입 출구(206)가 형성되어 있고, 웨이퍼(200)는 기판 반입 출구(206)를 통해 도시하지 않은 반송실과의 사이를 이동한다. 하부 용기(202b)의 저부에는, 리프트 핀(207)이 복수 설치되어 있다. 또한, 하부 용기(202b)는 접지되어 있다.
처리실(201) 내에는, 웨이퍼(200)를 지지하는 기판 지지부(210)가 설치되어 있다. 기판 지지부(210)는, 웨이퍼(200)를 재치하는 기판 재치면(211)과, 기판 재치면(211)을 표면에 갖는 기판 재치대(212), 기판 재치대(212)에 내포된 가열부로서의 히터(213)를 주로 갖는다. 기판 재치대(212)에는, 리프트 핀(207)이 관통하는 관통 구멍(214)이 리프트 핀(207)과 대응하는 위치에 각각 형성되어 있다.
기판 재치대(212)는, 샤프트(217)에 의해 지지된다. 샤프트(217)는, 처리 용기(202)의 저부를 관통하고 있고, 또한 처리 용기(202)의 외부에서 승강 기구(218)에 접속되어 있다. 승강 기구(218)를 작동시켜서 샤프트(217) 및 기판 재치대(212)를 승강시킴으로써, 기판 재치면(211) 위에 재치되는 웨이퍼(200)를 승강시키는 것이 가능하게 되어 있다. 또한, 샤프트(217) 하단부의 주위는 벨로즈(219)에 의해 덮여 있어, 처리 공간(201) 내는 기밀하게 유지되어 있다.
기판 재치대(212)는, 웨이퍼(200)의 반송 시에는, 기판 재치면(211)이 기판 반입 출구(206)의 위치(웨이퍼 반송 위치)가 되도록 하강하고, 웨이퍼(200)의 처리 시에는 도 1에서 나타낸 바와 같이, 웨이퍼(200)가 처리실(201) 내의 처리 위치(웨이퍼 처리 위치)까지 상승한다.
구체적으로는, 기판 재치대(212)를 웨이퍼 반송 위치까지 하강시켰을 때에는, 리프트 핀(207)의 상단부가 기판 재치면(211)의 상면으로부터 돌출되어, 리프트 핀(207)이 웨이퍼(200)를 하방으로부터 지지하도록 되어 있다. 또한, 기판 재치대(212)를 웨이퍼 처리 위치까지 상승시켰을 때에는, 리프트 핀(207)은 기판 재치면(211)의 상면으로부터 매몰되어, 기판 재치면(211)이 웨이퍼(200)를 하방으로부터 지지하도록 되어 있다. 또한, 리프트 핀(207)은 웨이퍼(200)와 직접 접촉하기 때문에, 예를 들어, 석영이나 알루미나 등의 재질로 형성하는 것이 바람직하다.
(배기계)
처리실(201)(상부 용기(202a))의 내벽 측면에는, 처리실(201)의 분위기를 배기하는 제1 배기부로서의 배기구(221)가 형성되어 있다. 배기구(221)에는 배기관(222)이 접속되어 있고, 배기관(222)에는, 처리실(201) 내를 소정의 압력으로 제어하는 APC(Auto Pressure Controller) 밸브 등의 압력 조정기(223), 진공 펌프(224)가 순서대로 직렬로 접속되어 있다. 주로, 배기구(221), 배기관(222), 압력 조정기(223), 진공 펌프(224)에 의해 제1 배기계(배기 라인)가 구성된다.
(가스 도입구)
처리실(201)의 상부에 설치되는 후술하는 샤워 헤드(234)의 상면(천장벽)에는, 처리실(201) 내에 각종 가스를 공급하기 위한 가스 도입구(241)가 형성되어 있다. 가스 도입구(241)에 접속되는 가스 공급계의 구성에 대해서는 후술한다.
(샤워 헤드)
가스 도입구(241)와 처리실(201)의 사이에는, 가스 분산 기구로서의 샤워 헤드(234)가 설치되어 있다. 가스 도입구(241)는, 샤워 헤드(234)의 덮개(231)에 접속되고, 가스 도입구(241)로부터 도입되는 가스는, 덮개(231)에 형성된 구멍(231a)을 통해 샤워 헤드(234)의 버퍼 공간(232)에 공급된다.
여기부로서의 전극(샤워 헤드 덮개(231))은, 도전성이 있는 금속으로 형성되어, 플라즈마 생성 영역으로서의 버퍼 공간(232) 또는 처리실(201) 내에서 가스를 플라즈마화하기 위한 전극으로서 사용된다. 덮개(231)와 상부 용기(202a)의 사이에는 절연 블록(233)이 형성되어, 덮개(231)와 상부 용기(202a)의 사이를 절연하고 있다. 여기부로서의 전극(샤워 헤드 덮개(231))에는, 후술하는 전자파(고주파)가 공급되도록 구성되어 있다.
샤워 헤드(234)는, 버퍼 공간(232)과 처리실(201)의 사이에, 가스 도입구(241)로부터 도입되는 가스를 분산시키기 위한 분산판(234a)을 구비하고 있다. 분산판(234a)에는, 복수의 관통 구멍(234b)이 형성되어 있다. 분산판(234a)은 기판 재치면(211)과 대향하도록 배치되어 있다.
버퍼 공간(232)에는, 공급된 가스의 흐름을 형성하는 가스 가이드(240)가 설치된다. 가스 가이드(240)는, 구멍(231a)를 정점으로 해서 분산판(234a) 방향을 향함에 따라 직경이 넓어지는 원추 형상이다. 가스 가이드(240)의 하단의 수평 방향의 직경은 관통 구멍(234b)의 단부보다 더 외주에 형성된다.
버퍼 공간(232)의 측방에는, 제2 배기부로서의 샤워 헤드 배기구(235)를 통해 배기관(236)이 접속되어 있다. 배기관(236)에는, 배기의 온/오프를 절환하는 밸브(237), 버퍼 공간(232) 내를 소정의 압력으로 제어하는 APC(Auto Pressure Controller) 밸브 등의 압력 조정기(238), 진공 펌프(239)가 순서대로 직렬로 접속되어 있다.
(공급계)
샤워 헤드(234)의 덮개(231)에 접속된 가스 도입구(241)에는, 공통 가스 공급관(242)이 접속되어 있다. 공통 가스 공급관(242)에는, 제1 가스 공급관(243a), 제2 가스 공급관(244a), 제3 가스 공급관(245a)이 접속되어 있다. 제2 가스 공급관(244a)은, 리모트 플라즈마 유닛(244e)을 통하여 접속된다.
제1 가스 공급관(243a)을 포함하는 제1 가스 공급계(243)로부터는 제1 원소 함유 가스가 주로 공급되고, 제2 가스 공급관(244a)을 포함하는 제2 가스 공급계(244)로부터는 주로 제2 원소 함유 가스가 공급된다. 제3 가스 공급관(245a)을 포함하는 제3 가스 공급계(245)로부터는, 웨이퍼(200)를 처리할 때에는 주로 불활성 가스가 공급되고, 처리실(201)을 클리닝할 때는 클리닝 가스가 주로 공급된다.
(제1 가스 공급계)
제1 가스 공급관(243a)에는, 상류 방향으로부터 순서대로, 제1 가스 공급원(243b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(243c), 및 개폐 밸브인 밸브(243d)가 설치되어 있다.
제1 가스 공급관(243a)으로부터, 제1 원소를 함유하는 가스(이하, 「제1 원소 함유 가스」)가 매스 플로우 컨트롤러(243c), 밸브(243d), 공통 가스 공급관(242)을 통해 샤워 헤드(234)에 공급된다.
제1 원소 함유 가스는, 원료 가스, 즉, 처리 가스의 하나이다.
여기서, 제1 원소는, 예를 들어 실리콘(Si)이다. 즉, 제1 원소 함유 가스는, 예를 들어 실리콘 함유 가스이다. 실리콘 함유 가스로서는, 예를 들어 디클로로실란(Dichlorosilane(SiH2Cl2): DCS) 가스를 사용할 수 있다. 또한, 제1 원소 함유 가스는, 상온 상압에서 고체, 액체, 및 기체 중 어느 것이어도 된다. 제1 원소 함유 가스가 상온 상압에서 액체인 경우에는, 제1 가스 공급원(243b)과 매스 플로우 컨트롤러(243c)의 사이에, 도시하지 않은 기화기를 설치하면 된다. 여기에서는 기체로서 설명한다.
제1 가스 공급관(243a)의 밸브(243d)보다 하류측에는, 제1 불활성 가스 공급관(246a)의 하류단이 접속되어 있다. 제1 불활성 가스 공급관(246a)에는, 상류 방향으로부터 순서대로, 불활성 가스 공급원(246b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(246c), 및 개폐 밸브인 밸브(246d)가 설치되어 있다.
여기서, 불활성 가스는, 예를 들어, 질소(N2) 가스이다. 또한, 불활성 가스로서 N2 가스 이외에, 예를 들어 헬륨(He) 가스, 네온(Ne) 가스, 아르곤(Ar) 가스 등의 희가스를 사용할 수 있다.
주로, 제1 가스 공급관(243a), 매스 플로우 컨트롤러(243c), 밸브(243d)에 의해, 제1 원소 함유 가스 공급계(243)(실리콘 함유 가스 공급계라고도 함)가 구성된다.
또한, 주로, 제1 불활성 가스 공급관(246a), 매스 플로우 컨트롤러(246c) 및 밸브(246d)에 의해 제1 불활성 가스 공급계가 구성된다. 또한, 불활성 가스 공급원(246b), 제1 가스 공급관(243a)을 제1 불활성 가스 공급계에 포함시켜 생각해도 된다.
또한, 제1 가스 공급원(243b), 제1 불활성 가스 공급계를, 제1 원소 함유 가스 공급계에 포함시켜 생각해도 된다.
(제2 가스 공급계)
제2 가스 공급관(244a)에는, 하류에 여기부로서의 리모트 플라즈마 유닛(244e)이 설치되어도 된다. 상류에는, 상류 방향으로부터 순서대로, 제2 가스 공급원(244b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(244c), 및 개폐 밸브인 밸브(244d)가 설치되어 있다. 여기부로서의 리모트 플라즈마 유닛(244e)에는, 전자파(고주파)가 공급되도록 구성되어 있다.
제2 가스 공급관(244a)으로부터는, 제2 원소를 함유하는 가스(이하, 「제2 원소 함유 가스」)가 매스 플로우 컨트롤러(244c), 밸브(244d), 리모트 플라즈마 유닛(244e), 공통 가스 공급관(242)을 통해 샤워 헤드(234) 내에 공급된다. 제2 원소 함유 가스는, 리모트 플라즈마 유닛(244e) 내의 플라즈마 생성 영역에서, 리모트 플라즈마 유닛(244e)에 설치된 여기부에 의해 여기되어, 웨이퍼(200) 위에 조사 가능하도록 구성된다.
제2 원소 함유 가스는, 처리 가스의 하나이다. 또한, 제2 원소 함유 가스는, 반응 가스 또는 개질 가스로서 생각해도 된다.
여기서, 제2 원소 함유 가스는, 제1 원소와 다른 제2 원소를 함유한다. 제2 원소로서는, 예를 들어, 산소(O), 질소(N), 탄소(C) 중 어느 하나이다. 본 실시 형태에서는, 제2 원소 함유 가스는, 예를 들어 질소 함유 가스인 것으로 한다. 구체적으로는, 질소 함유 가스로서는, 암모니아(NH3) 가스가 사용된다.
주로, 제2 가스 공급관(244a), 매스 플로우 컨트롤러(244c), 밸브(244d)에 의해, 제2 원소 함유 가스 공급계(244)(산소 함유 가스 공급계라고도 함)가 구성된다.
또한, 제2 가스 공급관(244a)의 밸브(244d)보다 하류측에는, 제2 불활성 가스 공급관(247a)의 하류단이 접속되어 있다. 제2 불활성 가스 공급관(247a)에는, 상류 방향으로부터 순서대로, 불활성 가스 공급원(247b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(247c), 및 개폐 밸브인 밸브(247d)가 설치되어 있다.
제2 불활성 가스 공급관(247a)으로부터는, 불활성 가스가, 매스 플로우 컨트롤러(247c), 밸브(247d), 제2 가스 공급관(244a), 리모트 플라즈마 유닛(244e)을 통해 샤워 헤드(234) 내에 공급된다. 불활성 가스는, 박막 형성 공정에서는 캐리어 가스 또는 희석 가스로서 작용한다.
주로, 제2 불활성 가스 공급관(247a), 매스 플로우 컨트롤러(247c) 및 밸브(247d)에 의해 제2 불활성 가스 공급계가 구성된다. 또한, 불활성 가스 공급원(247b), 제2 가스 공급관(244a), 리모트 플라즈마 유닛(244e)을 제2 불활성 가스 공급계에 포함시켜 생각해도 된다.
또한, 제2 가스 공급원(244b), 리모트 플라즈마 유닛(244e), 제2 불활성 가스 공급계를, 제2 원소 함유 가스 공급계(244)에 포함시켜 생각해도 된다.
(제3 가스 공급계)
제3 가스 공급관(245a)에는, 상류 방향으로부터 순서대로, 제3 가스 공급원(245b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(245c), 및 개폐 밸브인 밸브(245d)가 설치되어 있다.
제3 가스 공급관(245a)으로부터, 퍼지 가스로서의 불활성 가스가, 매스 플로우 컨트롤러(245c), 밸브(245d), 공통 가스 공급관(242)을 통해 샤워 헤드(234)에 공급된다.
여기서, 불활성 가스는, 예를 들어, 질소(N2) 가스이다. 또한, 불활성 가스로서 N2 가스 이외에, 예를 들어 헬륨(He) 가스, 네온(Ne) 가스, 아르곤(Ar) 가스 등의 희가스를 사용할 수 있다.
제3 가스 공급관(245a)의 밸브(245d)보다 하류측에는, 클리닝 가스 공급관(248a)의 하류단이 접속되어 있다. 클리닝 가스 공급관(248a)에는, 상류 방향으로부터 순서대로, 클리닝 가스 공급원(248b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(248c), 및 개폐 밸브인 밸브(248d)가 설치되어 있다.
주로, 제3 가스 공급관(245a), 매스 플로우 컨트롤러(245c), 밸브(245d)에 의해, 제3 가스 공급계(245)(실리콘 함유 가스 공급계라고도 함)가 구성된다.
또한, 주로, 클리닝 가스 공급관(248a), 매스 플로우 컨트롤러(248c) 및 밸브(248d)에 의해 클리닝 가스 공급계가 구성된다. 또한, 클리닝 가스 공급원(248b), 제3 가스 공급관(245a)을 클리닝 가스 공급계에 포함시켜 생각해도 된다.
또한, 제3 가스 공급원(245b), 클리닝 가스 공급계를, 제3 가스 공급계(245)에 포함시켜 생각해도 된다.
제3 가스 공급관(245a)으로부터는, 기판 처리 공정에서는, 불활성 가스가, 매스 플로우 컨트롤러(245c), 밸브(245d), 공통 가스 공급관(242)을 통해 샤워 헤드(234) 내에 공급된다. 또한, 클리닝 공정에서는, 클리닝 가스가, 매스 플로우 컨트롤러(248c), 밸브(248d), 공통 가스 공급관(242)을 통해 샤워 헤드(234) 내에 공급된다.
불활성 가스 공급원인 제3 가스 공급원(245b)으로부터 공급되는 불활성 가스는, 기판 처리 공정에서는, 처리실(201)이나 샤워 헤드(234) 내에 모아진 가스를 퍼지하는 퍼지 가스로서 작용한다. 또한, 클리닝 공정에서는, 클리닝 가스의 캐리어 가스 또는 희석 가스로서 작용해도 된다.
클리닝 가스 공급원(248b)으로부터 공급되는 클리닝 가스는, 클리닝 공정에서는 샤워 헤드(234)나 처리실(201)에 부착된 부생성물 등을 제거하는 클리닝 가스로서 작용한다.
여기서, 클리닝 가스는, 예를 들어 3불화질소(NF3) 가스이다. 또한, 클리닝 가스로서, 예를 들어, 불화 수소(HF) 가스, 3불화염소가스(ClF3) 가스, 불소(F2) 가스 등을 사용해도 되고, 또한 이들을 조합하여 사용해도 된다.
(여기부)
여기부는, 제1 여기부와 제2 여기부 중 어느 하나 또는 양쪽으로 구성된다.
제1 여기부로서의 샤워 헤드 덮개(231)에는, 정합기(251), 고주파 전원(252)이 접속되어 있다. 고주파 전원(252)으로부터, 정합기(251)를 통해 샤워 헤드 덮개(231)에 전자파(고주파)가 공급되고, 정합기(251)에서 임피던스를 조정함으로써, 상술한 제1 원소 함유 가스와 제2 원소 함유 가스 중 어느 하나 또는 양쪽을 여기(플라즈마화)시킨다.
또한, 제1 여기부를 사용한 경우, 제1 원소 함유 가스와 제2 원소 함유 가스 중 어느 하나 또는 양쪽을 포함하는 플라즈마는, 처리실(201)에서 생성되도록, 고주파 전원(252)의 출력이나 처리실(201) 내의 압력이 제어된다.
제2 여기부는, 리모트 플라즈마 유닛(244e)이다.
(플라즈마 생성 영역)
플라즈마 생성 영역은, 상술한 바와 같이, 처리실(201), 리모트 플라즈마 유닛(244e) 중 적어도 어느 하나 또는 양쪽으로 구성된다.
(제어부)
도 1에 도시한 바와 같이 기판 처리 장치(100)는, 기판 처리 장치(100)의 각 부의 동작을 제어하는 컨트롤러(121)를 갖고 있다.
도 2에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는, CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통해, CPU(121a)와 데이터 교환가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)나, 외부 기억 장치(283)가 접속 가능하게 구성되어 있다.
기억 장치(121c)는 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하게 저장되어 있다. 또한, 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것으로, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 간단히 프로그램이라고도 한다. 또한, 본 명세서에서 프로그램이라는 말을 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는, 그 양쪽을 포함하는 경우가 있다. 또한, RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는 게이트 밸브(205), 승강 기구(218), 압력 조정기(223, 238), 진공 펌프(224, 239), 리모트 플라즈마 유닛(244e), 매스 플로우 컨트롤러(MFC)(243c, 244c, 245c, 246c, 247c, 248c), 밸브(243d, 244d, 245d, 246d, 247d, 248d), 정합기(251), 고주파 전원(252) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터의 제어 프로그램을 판독하여 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. 그리고, CPU(121a)는, 판독된 프로세스 레시피의 내용을 따르도록, 게이트 밸브(205)의 개폐 동작, 승강 기구(218)의 승강 동작, 압력 조정기(223, 238)의 압력 조정 동작, 진공 펌프(224, 239)의 온/오프 제어, 리모트 플라즈마 유닛(244e)의 가스 여기 동작, 매스 플로우 컨트롤러(MFC)(243c, 244c, 245c, 246c, 247c, 248c)의 유량 조정 동작, 밸브(243d, 244d, 245d, 246d, 247d, 248d)의 가스의 온/오프 제어, 정합기(251)의 전력 정합 동작, 고주파 전원(252)의 온/오프 제어 등을 제어하도록 구성되어 있다.
또한, 컨트롤러(121)는, 전용의 컴퓨터로서 구성되어 있는 경우에 한하지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(283)를 준비하여, 이와 같은 외부 기억 장치(283)를 사용해서 범용의 컴퓨터에 프로그램을 인스톨하거나 함으로써, 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 또한, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(283)를 통해 공급하는 경우에 한하지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 사용하여, 외부 기억 장치(283)를 통하지 않고 프로그램을 공급하도록 해도 된다. 또한, 기억 장치(121c)나 외부 기억 장치(283)는, 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 간단히 기록 매체라고도 한다. 또한, 본 명세서에서, 기록 매체라는 말을 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(283) 단체만을 포함하는 경우, 또는, 그 양쪽을 포함하는 경우가 있다.
(2) 기판 처리 공정
이어서, 기판 처리 공정의 예에 대해서, 반도체 디바이스의 제조 공정의 하나인, DCS 가스 및 NH3(암모니아) 가스를 사용하여 실리콘 질화(SiN)막을 형성하는 예로 설명한다.
도 3은, 본 실시 형태에 따른 기판 처리 장치(100)에 의해 실시되는 기판 처리의 일례를 나타내는 시퀀스도이다. 도시한 예는, 플라즈마를 이용한 처리를 행하여, 기판으로서의 웨이퍼(200) 위에 SiN막을 형성하는 경우의 시퀀스 동작을 나타내고 있다.
(기판 반입 공정 S201)
성막 처리 시에는, 우선, 웨이퍼(200)를 처리실(201)에 반입시킨다. 구체적으로는, 기판 지지부(210)를 승강 기구(218)에 의해 하강시켜, 리프트 핀(207)이 관통 구멍(214)으로부터 기판 지지부(210)의 상면측으로 돌출시킨 상태로 한다. 또한, 처리실(201) 내를 소정의 압력으로 압력 조절한 후, 게이트 밸브(205)를 개방하여, 게이트 밸브(205)로부터 리프트 핀(207) 위에 웨이퍼(200)를 재치시킨다. 웨이퍼(200)를 리프트 핀(207) 위에 재치시킨 후, 승강 기구(218)에 의해 기판 지지부(210)를 소정의 위치까지 상승시킴으로써, 웨이퍼(200)가 리프트 핀(207)으로부터 기판 지지부(210)에 재치되게 된다.
(감압·승온 공정 S202)
계속해서, 처리실(201) 내가 원하는 압력(진공도)으로 되도록, 배기관(222)을 통해 처리실(201) 내를 배기한다. 이때, 압력 센서가 측정한 압력값에 기초하여, APC 밸브(223)의 밸브 개방도를 피드백 제어한다. 또한, 온도 센서가 검출한 온도 값에 기초하여, 처리실(201) 내가 원하는 온도로 되도록, 히터(213)에 대한 통전량을 피드백 제어한다. 구체적으로는, 기판 재치대(212)를 미리 가열해 두고, 웨이퍼(200) 또는 기판 재치대(212)의 온도 변화가 없어진 후에 일정 시간 둔다. 그 동안에, 처리실(201) 내에 잔류하고 있는 수분 또는 부재로부터의 탈가스 등을 진공 배기나 N2 가스의 공급에 의한 퍼지에 의해 제거한다. 이것으로 성막 프로세스 전의 준비가 완료하게 된다. 또한, 처리실(201) 내의 감압 시간을 단축하기 위해서, 밸브(237)를 열고, APC 밸브(238)로 배기 컨덕턴스를 조정하면서 진공 펌프(239)로 배기하도록 해도 된다.
(제1 처리 가스 공급 공정 S203)
계속해서, 도 3, 도 4에 도시한 바와 같이, 제1 처리 가스 공급계로부터 처리실(201) 내에 제1 처리 가스(원료 가스)로서의 DCS 가스를 공급한다. 또한, 배기계에 의한 처리실(201) 내의 배기를 계속해서 처리실(201) 내의 압력을 소정의 압력(제1 압력)으로 되도록 제어한다. 구체적으로는, 제1 가스 공급관(243a)의 밸브(243d), 제1 불활성 가스 공급관(246a)의 밸브(246d)를 개방하여, 제1 가스 공급관(243a)에 DCS 가스, 제1 불활성 가스 공급관(246a)에 N2 가스를 흘린다. DCS 가스는, 제1 가스 공급관(243a)으로부터 흘러, 매스 플로우 컨트롤러(243c)에 의해 유량 조정된다. N2 가스는, 제1 불활성 가스 공급관(246a)으로부터 흘러, 매스 플로우 컨트롤러(246c)에 의해 유량 조정된다. 유량 조정된 DCS 가스는, 유량 조정된 N2 가스와 제1 가스 공급관(243a) 내에서 혼합되어, 샤워 헤드(234)의 관통 구멍(234b)으로부터, 가열된 감압 상태의 처리실(201) 내에 공급되어, 배기관(222)으로부터 배기된다. 이때, 웨이퍼(200)에 대하여 DCS 가스가 공급되게 된다(원료 가스(DCS) 공급 공정). DCS 가스는, 소정의 압력(제1 압력: 예를 들어 100Pa 이상 10000Pa 이하)으로 처리실(201) 내에 공급한다. 이와 같이 하여, 웨이퍼(200)에 DCS를 공급한다. DCS가 공급됨으로써, 웨이퍼(200) 위에 실리콘 함유층이 형성된다. 실리콘 함유층이란, 실리콘(Si), 또는, 실리콘과 염소(Cl)를 포함하는 층이다.
또한, 도 4에 도시한 바와 같이, 플라즈마 생성 영역에 전자파(고주파)의 공급을 개시한다. 본 실시 형태의 도 4에서는, 원료 가스의 공급 개시와 동시에 전자파의 공급을 개시하고 있지만, 적어도 반응 가스의 공급 전에 공급되도록 구성해도 된다. 전자파의 공급은, 제1 처리 가스 공급 공정 S203부터 S207의 반복이 종료될 때까지 계속한다. 제1 처리 가스의 공급 공정 S203에서는, 주로 퍼지 가스의 공급에 의한 승압에 의해 파셴의 법칙으로부터, 전자파의 전력(전압), 압력, 전극간 거리의 관계로부터, 원료 가스가 플라즈마화하지 않는(플라즈마가 OFF 상태를 유지할 수 있는) 압력(제1 압력)으로 설정한다. 이때, 정합기(251)를 통해 공급하는 RF 전력을 덮개(231)에 공급할 때, 덮개(231)와 기판 재치대(212)의 사이에 발생하는 전압이 2000V 이상으로 상승하지 않도록 정합기(251)를 사전에 설정해 둔다. 예를 들어 도 6의 N2(질소) 방전 개시 특성에 나타낸 바와 같이 퍼지 가스의 공급량을 조절해서 pd곱(p: 압력, d: 전극 간격)이 20Torr·㎝ 이하로 되지 않도록 한다.
(퍼지 공정 S204)
웨이퍼(200) 위에 실리콘 함유층이 형성된 후, 제1 가스 공급관(243a)의 밸브(243d)를 폐쇄하여, DCS 가스의 공급을 정지한다. 이때, 배기관(222)의 APC 밸브(압력 조정기)(223)는 개방한 채로 두어, 진공 펌프(224)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 DCS 가스를 처리실(201) 내로부터 배제한다. 또한, 밸브(246d)는 개방한 채로 두어, 불활성 가스로서의 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 DCS 가스를 처리실(201) 내로부터 배제하는 효과를 더 높일 수 있다. 또한, 밸브(237)를 열어, APC 밸브(238)를 통해, 진공 펌프(239)로부터 배기하도록 구성해도 된다. 이와 같이 구성함으로써, 샤워 헤드(234) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 DCS 가스를 샤워 헤드(234) 내로부터 제거할 수 있다. 이 공정에서는 제1 처리 가스 공급 공정 S203과 마찬가지로 처리실(201) 내의 pd곱은 항상 20Torr·㎝ 이상으로 유지한다.
또한, 이때, 처리실(201) 내나, 샤워 헤드(234) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행하여지는 공정에서 악영향이 발생하지는 않는다. 이때 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어, 반송 공간(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 다음 공정에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능하게 된다.
또한, 커버리지나 막질, 막 두께의 균일성을 양호하게 할 때에는, 처리실(201) 내를 충분히 배기하는 것이 바람직하다.
이때의 히터(213)의 온도는, 웨이퍼(200)에의 원료 가스 공급시와 마찬가지로 300 내지 650℃, 바람직하게는 300 내지 600℃, 보다 바람직하게는 300 내지 550℃의 범위 내의 일정한 온도로 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 20000sccm의 범위 내의 유량으로 한다. 퍼지 가스로서는, N2 가스 외에, Ar, He, Ne, Xe 등의 희가스를 사용해도 된다.
본 퍼지 공정에서는, 전자파가 계속해서 공급되고 있고, 처리실(201)에 플라즈마가 생성되지 않도록 pd곱이 20Torr·㎝ 이상으로 되는 것이 필수적이다.
(제2 처리 가스 공급 공정 S205)
처리실(201) 내의 DCS 잔류 가스를 제거한 후, 퍼지 가스의 공급을 정지하고, 제2 처리 가스(반응 가스)로서의 NH3 가스를 공급한다. 구체적으로는, 제2 가스 공급관(244a)의 밸브(244d)를 개방하여, 제2 가스 공급관(244a) 내에 NH3 가스를 흘린다. 제2 가스 공급관(244a) 내를 흐른 NH3 가스는, 매스 플로우 컨트롤러(244c)에 의해 유량 조정된다. 유량 조정된 NH3 가스는 리모트 플라즈마 유닛(244e), 공통 가스 공급관(242)을 통해 샤워 헤드(234) 내에 공급된다. 또한, 처리실(201) 내의 압력이, 방전 가능한 압력(제2 압력)으로 되도록 조정된다. 여기에서는, 정합기(251)를 통해 덮개(231)에 공급되는 RF 전력에 의해 발생하는 전압은 2000V 이하이면서 또한 2000V에 가까운 값으로 유지되어 있다. 이 상태에서 퍼지 가스의 공급을 감소시킴으로써 처리실(201) 내의 압력을, 제1 압력보다 낮은 제2 압력으로 낮추고, pd곱을 20Torr·㎝ 이하로 함으로써 처리실(201) 내에 플라즈마를 생성한다.
또한, 여기서 퍼지 가스와 제2 처리 가스의 유량비는, 제2 처리 가스의 유량(공급량)을 1로 했을 경우에, 퍼지 가스를 10 내지 100으로 한다. 각 가스의 유량은, 밸브의 개폐 시간으로 제어되어, 제2 처리 가스의 밸브를 개방하는 시간은, 예를 들어, 0.1초 내지 1초로 설정된다.
또한, RF 전력의 공급은, 도 4에 도시하는 바와 같이, 원료 가스 공급시부터 공급해도 되지만, 도 5에 도시한 바와 같이, 반응 가스 공급 직후부터 공급하도록 구성해도 된다.
반응 가스로서의 NH3 가스를 플라즈마화함으로써, 활성화된 NH3 가스(NH3 가스의 플라즈마나 라디칼)를 발생시켜, 웨이퍼(200) 위에 형성된 실리콘 함유막과 반응시켜, 실리콘 질화막을 형성해 갈 수 있다. 또한, 반응 가스를 공급하면서, 플라즈마 생성 영역의 압력을 내릴 때에, 제2 배기부로서의 샤워 헤드 배기부(235)를 사용하여 배기량을 증대시켜도 된다.
(퍼지 공정 S206)
제2 처리 가스 공급 공정 후, 퍼지 가스의 공급으로 처리실(201) 내를 승압하여 pd곱>>20Torr·㎝이 되도록 함으로써 플라즈마 생성을 정지하는 동시에 반응 가스의 공급을 멈추고, 퍼지 공정 S204와 마찬가지의 처리를 행한다. 퍼지 공정을 행함으로써, 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 NH3 가스를 배제시킬 수 있다. 또한, 퍼지 공정 S204와 마찬가지로, 밸브(237)를 열어, APC 밸브(238)를 통해 진공 펌프(239)로부터 배기하도록 구성해도 된다. 이와 같이 구성함으로써, 샤워 헤드(234) 내에 잔류하는 미반응 또는 실리콘 질화막의 형성에 기여한 잔류 가스를 샤워 헤드(234) 내로부터 제거할 수 있다. 잔류 가스를 제거함으로써, 잔류 가스에 의한 예기하지 못한 막 형성을 억제할 수 있다.
(반복 공정 S207)
이상의 제1 처리 가스 공급 공정 S203, 퍼지 공정 S204, 제2 처리 가스 공급 공정 S205, 퍼지 공정 S206 각각을 1 공정씩 행함으로써, 웨이퍼(200) 위에 소정의 두께의 막이 퇴적된다. 이 공정을 반복함으로써, 웨이퍼(200) 위의 막 두께를 제어할 수 있다. 소정 막 두께로 될 때까지 소정 횟수 반복하도록 제어된다.
(기판 반출 공정 S208)
반복 공정 S207에서 소정 횟수 실시된 후, 기판 반출 공정 S208이 행하여져, 웨이퍼(200)가 처리실(201)로부터 반출된다. 구체적으로는, 반출 가능 온도까지 강하시켜, 처리실(201) 내를 불활성 가스로 퍼지하여, 반송 가능한 압력으로 압력 조절된다. 압력 조절 후, 기판 지지부(210)가 승강 기구(218)에 의해 강하되어, 리프트 핀(207)이 관통 구멍(214)으로부터 돌출되어, 웨이퍼(200)가 리프트 핀(207) 위에 재치된다. 웨이퍼(200)가 리프트 핀(207) 위에 재치된 후, 게이트 밸브(205)가 개방되어, 웨이퍼(200)가 처리실(201)로부터 반출된다.
(3) 본 실시 형태에 따른 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과를 발휘한다.
(a) 고주파 전력을 사전에 공급하고, 반응 가스의 공급 압력에 의해, 반응 가스만이 플라즈마화되도록 되기 때문에, 플라즈마의 ON/OFF(온/오프)의 전환 시간을 단축시킬 수 있다.
(b) 또한, 퍼지 공정에서, 샤워 헤드(234) 내부로부터 배기함으로써 퍼지 시간을 단축시킬 수 있다.
(c) 고주파 전력을 공급한 상태에서, 처리실(201) 내의 압력을 내림으로써 플라즈마의 ON/OFF 시간의 절환 시간을 단축할 수 있다.
(d) 고주파 전력을 공급한 상태에서, 처리실(201) 내의 압력을 내림으로써, 퍼지 공정이나 제1 가스 공급 공정에서의 압력을 높게 할 수 있어, 퍼지 시간을 단축할 수 있다. 퍼지 공정이나 제1 가스의 공급 공정의 압력을 내리는 경우에는, 각각의 처리 시간이 길어져, 스루풋이 저하되는 과제가 있다.
(e) 압력을 내려서 플라즈마를 발생시킴으로써, 의도하지 않는 플라즈마의 발생을 억제할 수 있다.
<본 발명의 제2 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명했지만, 본 발명은 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 도 5는 본 발명의 제2 형태와 관련된 가스 공급 시퀀스 예를 나타낸다. 도 5에서는, 원료 가스(제1 처리 가스 공급)와 퍼지 공정을 행한 후에, 배기 공정을 행하여 원료 가스를 제거한 후에, 반응 가스의 공급·전자파의 공급을 순차적으로 행한다. 전자파의 공급 후에 배기하여, 방전 가능한 압력으로 조정함으로써 반응 가스의 여기를 개시시킨다. 이와 같이 구성함으로써, 압력 변동으로 가스 여기를 개시시킬 수 있다.
<본 발명의 제3 형태>
이상의 실시 형태에서는, 도 1에 관한 장치 구성에 대하여 설명했지만, 이 구성에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 도 7은 본 발명의 제3 형태와 관련된 기판 처리 장치(100a)의 구성을 나타낸다. 도 7은, 여기부로서의 전극을 마이크로파 도입창(305)으로 한 구성이 있다. 마이크로파 도입창(305)에는, 마이크로파원(304)으로부터, 마그네트론(301), 아이솔레이터(302), 정합기(303) 등을 통해 전자파(마이크로파)가 공급되도록 구성된다. 이와 같이 마이크로파를 공급함으로써, 버퍼 공간(232) 내에 고주파 전력을 공급할 수 있어, 버퍼 공간(232) 내에 공급되는 가스를 플라즈마화시킬 수 있다. 또한, 상술한 도 4나 도 5와 같이 전자파를 공급할 때에 플라즈마가 생성되어 있지 않을 때는, 반사파가 증대하는데, 아이솔레이터(302)에서 반사파를 흡수시킴으로써 마이크로파원(304)에 대한 대미지를 경감할 수 있다. 가스의 공급 타이밍이나 전자파의 공급 타이밍, 배기 타이밍은, 도 4나 도 5와 마찬가지로 구성할 수 있다.
또한, 상술한, 압력 제어에 의한 플라즈마 생성에서는, 가스 유량으로 압력을 조정하도록 한 예를 기재했지만, 가스 유량의 제어와 배기량의 제어를 조합하여 조정하도록 해도 된다. 이와 같이 조합함으로써, 압력 조정에 필요로 하는 시간을 단축할 수 있다. 또한, 바람직하게는, 플라즈마 생성 영역의 용적을 작게 함으로써도 단축할 수 있다. 또한, 상술한 제2 배기부를 사용함으로써 배기 시간을 단축할 수 있다.
또한, 상술에서는, 반도체 장치의 제조 공정에 대하여 기재했지만, 실시 형태에 따른 발명은, 반도체 장치의 제조 공정 이외에도 적용 가능하다. 예를 들어, 액정 디바이스의 제조 공정이나 세라믹 기판에 대한 플라즈마 처리 등이 있다.
또한, 상술에서는, 원료 가스와 반응 가스를 교대로 공급하여 성막하는 방법에 대해 기재했지만, 다른 방법에도 적용 가능하다. 예를 들어, 원료 가스와 반응 가스의 공급 타이밍이 겹치도록 공급해도 된다.
또한, 상술에서는, 성막 처리에 대하여 기재했지만, 다른 처리에도 적용 가능하다. 예를 들어, 반응 가스만을 사용하여, 기판 표면이나 기판에 형성된 막을 플라즈마 산화 처리나, 플라즈마 질화 처리 등의 기판 처리에서도 본 발명을 적용할 수 있다. 또한, 반응 가스만을 사용한 플라즈마 어닐 처리에도 적용할 수 있다.
또한, 상술에서는, 매엽식 장치에 대하여 기재했지만, 이에 한정하지 않고, 기판을 수직 방향으로 복수 유지한 상태에서 처리하는 종형 장치이어도 된다. 또한, 복수의 기판을 수평 방향으로 복수 매 유지한 상태에서 처리하는 처리 장치이어도 된다.
<본 발명의 바람직한 형태>
이하에, 본 발명의 바람직한 형태에 대하여 부기한다.
<부기 1>
일 형태에 의하면, 기판에 원료 가스를 공급하는 공정과, 플라즈마 생성 영역에 반응 가스를 공급하는 공정과, 상기 플라즈마 생성 영역에 고주파 전력을 공급하는 공정과, 상기 반응 가스를 공급하기 전의 상기 플라즈마 생성 영역 내의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스의 플라즈마를 생성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
<부기 2>
부기 1에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 플라즈마 생성 영역에 고주파 전력의 공급을 개시한 후, 상기 플라즈마 생성 영역에 상기 반응 가스를 공급하여, 상기 플라즈마 생성 영역 내를 상기 제1 압력으로부터 상기 제2 압력으로 압력 조절하여 상기 플라즈마를 생성한다.
<부기 3>
부기 1 또는 부기 2에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 반응 가스를 공급하기 전에, 상기 반응 가스의 유량보다 많은 유량의 퍼지 가스를 공급하는 공정을 갖는다.
<부기 4>
부기 1 내지 부기 3 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 플라즈마를 발생시키는 공정 후에, 상기 반응 가스를 플라즈마 상태로부터 가스 상태로 되돌리는 공정을 갖는다.
<부기 5>
부기 4에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 플라즈마를 발생시키는 공정과 상기 가스 상태로 되돌리는 공정은 교대로 행하여진다.
<부기 6>
부기 1 내지 부기 5 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 압력은, 상기 반응 가스가 플라즈마 OFF 상태를 유지하는 압력으로 한다.
<부기 7>
부기 1 내지 부기 6 중 어느 하나에 기재된 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제2 압력은, 상기 반응 가스가 플라즈마화하는 압력이다.
<부기 8>
다른 형태에 의하면, 기판을 수용하는 처리실과, 상기 기판에 원료 가스를 공급하는 원료 가스 공급부와, 상기 기판에 반응 가스를 공급하는 반응 가스 공급부와, 상기 반응 가스를 공급받아, 상기 반응 가스를 플라즈마화하는 플라즈마 생성 영역과, 상기 플라즈마 생성 영역에 고주파 전력을 공급하는 여기부와, 상기 반응 가스를 공급하기 전의 상기 플라즈마 생성 영역의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스를 플라즈마화시키도록, 상기 반응 가스 공급부와 상기 여기부를 제어하는 구성을 갖는 제어부를 갖는 기판 처리 장치가 제공된다.
<부기 9>
부기 8에 기재된 기판 처리 장치로서, 바람직하게는, 상기 처리실을 배기하는 배기부를 갖고, 상기 제어부는, 상기 플라즈마 생성 영역에 고주파 전력의 공급을 개시한 후, 상기 플라즈마 생성 영역에 상기 반응 가스를 공급하여, 상기 플라즈마 생성 영역 내를, 상기 제1 압력으로부터 상기 제2 압력으로 압력 조절하도록 상기 반응 가스 공급부와 상기 배기부를 제어하는 구성을 갖는다.
<부기 10>
부기 8 또는 부기 9에 기재된 기판 처리 장치로서, 바람직하게는, 상기 처리실에 퍼지 가스를 공급하는 퍼지 가스 공급부가 설치되고, 상기 제어부는, 상기 반응 가스를 공급하기 전에, 상기 반응 가스의 유량보다 많은 유량의 퍼지 가스를 공급하는 공정을 행하도록 상기 반응 가스 공급부와 상기 퍼지 가스 공급부를 제어하는 구성을 갖는다.
<부기 11>
부기 8 내지 부기 10 중 어느 하나에 기재된 기판 처리 장치로서, 바람직하게는, 상기 제어부는, 상기 플라즈마를 발생시키는 공정 후에, 상기 고주파 전력의 공급을 유지한 상태에서 상기 반응 가스를 플라즈마 상태로부터 가스 상태로 되돌리는 공정을 행하도록 상기 여기부와 상기 반응 가스 공급부를 제어한다.
<부기 12>
부기 8 내지 부기 11 중 어느 하나에 기재된 기판 처리 장치로서, 바람직하게는, 상기 제어부는, 상기 플라즈마를 발생시키는 공정과 상기 가스 상태로 되돌리는 공정을 교대로 행하도록 상기 여기부와 상기 반응 가스 공급부를 제어하는 구성을 갖는다.
<부기 13>
부기 9 내지 부기 12 중 어느 하나에 기재된 기판 처리 장치로서, 바람직하게는, 상기 제어부는, 상기 제1 압력이, 상기 반응 가스가 플라즈마 OFF 상태를 유지하는 압력으로 되도록, 상기 반응 가스 공급부와 상기 배기부 중 어느 하나 또는 양쪽을 제어하는 구성을 갖는다.
<부기 14>
부기 9 내지 부기 13 중 어느 하나에 기재된 기판 처리 장치로서, 바람직하게는, 상기 제어부는, 상기 제2 압력이, 상기 반응 가스가 플라즈마화하는 압력으로 되도록 상기 반응 가스 공급부와 상기 배기부 중 어느 하나 또는 양쪽을 제어하는 구성을 갖는다.
<부기 15>
또 다른 형태에 의하면, 기판에 원료 가스를 공급시키는 수순과, 플라즈마 생성 영역에 반응 가스를 공급시키는 수순과, 상기 플라즈마 생성 영역에 고주파 전력을 공급시키는 수순과, 상기 반응 가스를 공급시키기 전의 상기 플라즈마 생성 영역 내의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스의 플라즈마를 생성시키는 수순을 컴퓨터에 실행시키도록 기록 매체에 저장된 프로그램이 제공된다.
<부기 16>
부기 15에 기재된 프로그램으로서, 바람직하게는, 상기 플라즈마 생성 영역에 고주파 전력의 공급을 개시한 후, 상기 플라즈마 생성 영역에 상기 반응 가스를 공급하여, 상기 플라즈마 생성 영역 내를 상기 제1 압력으로부터 상기 제2 압력으로 압력 조절하여 상기 플라즈마를 생성시키는 수순을 갖는다.
<부기 17>
부기 15 또는 부기 16에 기재된 프로그램으로서, 바람직하게는, 상기 반응 가스를 공급하기 전에, 상기 반응 가스의 유량보다 많은 유량의 퍼지 가스를 공급시키는 수순을 갖는다.
<부기 18>
부기 15 내지 부기 17에 기재된 프로그램으로서, 바람직하게는, 상기 플라즈마를 발생시키는 수순 후에, 상기 반응 가스를 플라즈마 상태로부터 가스 상태로 되돌리게 하는 수순을 갖는다.
<부기 19>
부기 15 내지 부기 18 중 어느 하나에 기재된 프로그램으로서, 바람직하게는, 상기 플라즈마를 발생시키는 수순과 상기 가스 상태로 되돌리게 하는 수순은 교대로 행하여진다.
<부기 20>
부기 15 내지 부기 19 중 어느 하나에 기재된 프로그램으로서, 바람직하게는, 상기 제1 압력은, 상기 반응 가스가 플라즈마 OFF 상태를 유지하는 압력으로 한다.
<부기 21>
부기 15 내지 부기 20 중 어느 하나에 기재된 프로그램으로서, 바람직하게는, 상기 제2 압력은, 상기 반응 가스가 플라즈마화하는 압력이다.
<부기 22>
또 다른 형태에 의하면, 기판에 원료 가스를 공급시키는 수순과, 플라즈마 생성 영역에 반응 가스를 공급시키는 수순과, 상기 플라즈마 생성 영역에 고주파 전력을 공급시키는 수순과, 상기 반응 가스를 공급시키기 전의 상기 플라즈마 생성 영역 내의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스의 플라즈마를 생성시키는 수순을 컴퓨터에 실행시키는 프로그램이 기록된 기록 매체가 제공된다.
200 : 웨이퍼(기판) 201 : 처리실
202 : 처리 용기 212 : 기판 재치대
213 : 히터 221 : 배기구(제1 배기부)
234 : 샤워 헤드 235 : 샤워 헤드 배기구(제2 배기부)
231 : 샤워 헤드 덮개(여기부) 244e : 리모트 플라즈마 유닛(여기부)

Claims (15)

  1. 기판에 원료 가스를 공급하는 공정과,
    플라즈마 생성 영역에 반응 가스를 공급하는 공정과,
    상기 플라즈마 생성 영역에 고주파 전력을 공급하는 공정과,
    상기 반응 가스를 공급하기 전의 상기 플라즈마 생성 영역 내의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스의 플라즈마를 생성하는 공정을 갖는, 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 플라즈마 생성 영역에 고주파 전력의 공급을 개시한 후, 상기 플라즈마 생성 영역에 상기 반응 가스를 공급하여, 상기 플라즈마 생성 영역 내를 상기 제1 압력으로부터 상기 제2 압력으로 압력 조절하여 상기 플라즈마를 생성하는, 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 반응 가스를 공급하기 전에, 상기 반응 가스의 유량보다 많은 퍼지 가스를 공급하는 공정을 갖는, 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 플라즈마를 발생시키는 공정 후에, 상기 반응 가스를 플라즈마 상태로부터 가스 상태로 되돌리는 공정을 갖는, 반도체 장치의 제조 방법.
  5. 제4항에 있어서,
    상기 플라즈마를 발생시키는 공정과 상기 가스 상태로 되돌리는 공정은 교대로 행하여지는, 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 제1 압력은, 상기 반응 가스가 플라즈마 오프 상태를 유지하는 압력인, 반도체 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 제2 압력은, 상기 반응 가스가 플라즈마화하는 압력인, 반도체 장치의 제조 방법.
  8. 기판을 수용하는 처리실과,
    상기 기판에 원료 가스를 공급하는 원료 가스 공급부와,
    상기 기판에 반응 가스를 공급하는 반응 가스 공급부와,
    상기 반응 가스를 공급받아, 상기 반응 가스를 플라즈마화하는 플라즈마 생성 영역과,
    상기 플라즈마 생성 영역에 고주파 전력을 공급하는 여기부와,
    상기 반응 가스를 공급하기 전의 상기 플라즈마 생성 영역의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스를 플라즈마화시키도록, 상기 반응 가스 공급부와 상기 여기부를 제어하는 구성을 갖는 제어부를 갖는, 기판 처리 장치.
  9. 제8항에 있어서,
    상기 처리실을 배기하는 배기부를 갖고,
    상기 제어부는, 상기 플라즈마 생성 영역에 고주파 전력의 공급을 개시한 후, 상기 플라즈마 생성 영역에 상기 반응 가스를 공급하여 상기 플라즈마 생성 영역 내를 상기 제1 압력으로부터 상기 제2 압력으로 압력 조절하도록 상기 반응 가스 공급부와 상기 배기부를 제어하는 구성을 갖는, 기판 처리 장치.
  10. 제8항에 있어서,
    상기 처리실에 퍼지 가스를 공급하는 퍼지 가스 공급부를 더 갖고,
    상기 제어부는, 상기 반응 가스를 공급하기 전에, 상기 반응 가스의 유량보다 많은 퍼지 가스를 공급하는 공정을 행하도록 상기 반응 가스 공급부와 상기 퍼지 가스 공급부를 제어하는 구성을 갖는, 기판 처리 장치.
  11. 제8항에 있어서,
    상기 제어부는, 상기 플라즈마를 발생시키는 공정 후에, 상기 고주파 전력의 공급을 유지한 상태에서 상기 반응 가스를 플라즈마 상태로부터 가스 상태로 되돌리는 공정을 행하도록 상기 여기부와 상기 반응 가스 공급부를 제어하는 구성을 갖는, 기판 처리 장치.
  12. 제8항에 있어서,
    상기 제어부는, 상기 플라즈마를 발생시키는 공정과 상기 가스 상태로 되돌리는 공정을 교대로 행하도록 상기 여기부와 상기 반응 가스 공급부를 제어하는 구성을 갖는, 기판 처리 장치.
  13. 제9항에 있어서,
    상기 제어부는, 상기 제1 압력이 상기 반응 가스가 플라즈마 오프 상태를 유지하는 압력으로 되도록 상기 반응 가스 공급부와 상기 배기부 중 어느 하나 또는 양쪽을 제어하는 구성을 갖는, 기판 처리 장치.
  14. 제9항에 있어서,
    상기 제어부는, 상기 제2 압력을 상기 반응 가스가 플라즈마화하는 압력으로 되도록 상기 반응 가스 공급부와 상기 배기부 중 어느 하나 또는 양쪽을 제어하는 구성을 갖는, 기판 처리 장치.
  15. 기판에 원료 가스를 공급시키는 수순과,
    플라즈마 생성 영역에 반응 가스를 공급시키는 수순과,
    상기 플라즈마 생성 영역에 고주파 전력을 공급시키는 수순과,
    상기 반응 가스를 공급시키기 전의 상기 플라즈마 생성 영역 내의 압력을 제1 압력으로 하고, 상기 반응 가스와 상기 고주파 전력이 공급된 상태에서, 상기 제1 압력보다 낮은 제2 압력으로 조정하여 상기 반응 가스의 플라즈마를 생성시키는 수순을 컴퓨터에 실행시키도록 기록 매체에 저장된 프로그램.
KR1020140123445A 2013-09-30 2014-09-17 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 KR101612622B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2013204730 2013-09-30
JPJP-P-2013-204730 2013-09-30
JPJP-P-2014-058276 2014-03-20
JP2014058276A JP5807084B2 (ja) 2013-09-30 2014-03-20 半導体装置の製造方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
KR20150037534A true KR20150037534A (ko) 2015-04-08
KR101612622B1 KR101612622B1 (ko) 2016-04-14

Family

ID=52740583

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140123445A KR101612622B1 (ko) 2013-09-30 2014-09-17 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Country Status (5)

Country Link
US (1) US9070554B2 (ko)
JP (1) JP5807084B2 (ko)
KR (1) KR101612622B1 (ko)
CN (1) CN104517793B (ko)
TW (1) TW201513220A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190108482A (ko) * 2018-03-14 2019-09-24 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5947435B1 (ja) * 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6333302B2 (ja) 2016-03-30 2018-05-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6240712B1 (ja) 2016-05-31 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6479713B2 (ja) * 2016-07-11 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
JP6446418B2 (ja) 2016-09-13 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6529996B2 (ja) 2017-02-06 2019-06-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2018213867A1 (en) * 2017-05-25 2018-11-29 Micro-X Limited Device for producing radio frequency modulated x-ray radiation
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US12025484B2 (en) * 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
JP6896682B2 (ja) * 2018-09-04 2021-06-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
JP6906490B2 (ja) * 2018-09-14 2021-07-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR20240044540A (ko) 2018-09-20 2024-04-04 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램, 플라스마 유닛 및 기판 처리 방법
JP6946248B2 (ja) * 2018-09-26 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11335591B2 (en) * 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
JP7411820B2 (ja) 2020-09-11 2024-01-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プラズマ生成装置およびプログラム

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0518544B1 (en) * 1991-06-10 2000-08-30 AT&T Corp. Anisotropic deposition of dielectrics
JPH10173052A (ja) 1996-12-13 1998-06-26 Fujitsu Ltd 半導体装置及びその製造方法
JP4068204B2 (ja) 1998-01-20 2008-03-26 東京エレクトロン株式会社 プラズマ成膜方法
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
JP2001049440A (ja) 1999-08-13 2001-02-20 Mitsubishi Heavy Ind Ltd プラズマcvd製膜方法及びプラズマcvd製膜装置
JP3924183B2 (ja) 2002-03-13 2007-06-06 三菱重工業株式会社 プラズマcvd成膜方法
JP4909537B2 (ja) 2005-07-07 2012-04-04 神港精機株式会社 酸化珪素膜の成膜方法
US7314838B2 (en) * 2005-07-21 2008-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a high density dielectric film by chemical vapor deposition
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2008192975A (ja) 2007-02-07 2008-08-21 Hitachi Kokusai Electric Inc 基板処理方法
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
JP5082595B2 (ja) * 2007-05-31 2012-11-28 東京エレクトロン株式会社 成膜装置
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP5223804B2 (ja) * 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190108482A (ko) * 2018-03-14 2019-09-24 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체

Also Published As

Publication number Publication date
JP2015092533A (ja) 2015-05-14
JP5807084B2 (ja) 2015-11-10
CN104517793A (zh) 2015-04-15
CN104517793B (zh) 2017-06-30
TW201513220A (zh) 2015-04-01
US20150093913A1 (en) 2015-04-02
KR101612622B1 (ko) 2016-04-14
US9070554B2 (en) 2015-06-30
TWI563566B (ko) 2016-12-21

Similar Documents

Publication Publication Date Title
KR101612622B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP5837962B1 (ja) 基板処理装置、半導体装置の製造方法およびガス整流部
JP5840268B1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
KR101848370B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101725902B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20150110246A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101965154B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101939584B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US9966238B2 (en) Method for manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US20150361554A1 (en) Substrate processing apparatus
JP6774972B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
KR101922588B1 (ko) 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
KR101996143B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20190108479A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190319

Year of fee payment: 4