KR20120034092A - 피치 분할 패터닝 방법 - Google Patents

피치 분할 패터닝 방법 Download PDF

Info

Publication number
KR20120034092A
KR20120034092A KR1020120025639A KR20120025639A KR20120034092A KR 20120034092 A KR20120034092 A KR 20120034092A KR 1020120025639 A KR1020120025639 A KR 1020120025639A KR 20120025639 A KR20120025639 A KR 20120025639A KR 20120034092 A KR20120034092 A KR 20120034092A
Authority
KR
South Korea
Prior art keywords
pattern
layer
spacer
way
hard mask
Prior art date
Application number
KR1020120025639A
Other languages
English (en)
Other versions
KR101683326B1 (ko
Inventor
산흐 디 탕
스콧 실스
하이타오 리우
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20120034092A publication Critical patent/KR20120034092A/ko
Application granted granted Critical
Publication of KR101683326B1 publication Critical patent/KR101683326B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electron Beam Exposure (AREA)
  • Apparatus For Radiation Diagnosis (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 실시예는 최소 피치를 초과하는 리소그래피 기술의 기능을 확장시키는 피치 분할 기술을 포함한다. 본 명세서에서 설명되는 피치 분할 기술은 피치 분할된 라인들이 단락 문제점을 방지하기 위해 필요한 공간 절연을 갖는 것을 보장한다. 또한, 본 명세서에서 설명되는 피치 분할 기술은 높은 종횡비 형상의 구조적 견고성을 증가시키는 처리 동작을 사용한다.

Description

피치 분할 패터닝 방법{PITCH DIVISION PATTERNING TECHNIQUES}
본 발명은 전반적으로 반도체 공정에 관한 것으로, 보다 구체적으로 피치 분할 기술 및 피치 분할된 라인의 물리적 안정성을 증가시키는 처리 동작에 관한 것이다.
집적 회로의 요소(feature) 크기는 증가되는 휴대성, 연산 전력, 메모리 용량 및 에너지 효율에 대한 수요를 포함하는 많은 요인에 따라 계속 감소되고 있다. 집적 회로에 대한 감소된 요소 크기는 이 요소를 형성하기 위해 사용되는 기술에 관련된다. 예를 들어, 리소그래피는 집적 회로의 요소들(가령, 도전 라인)을 패터닝하는 데에 공통적으로 사용된다. 이들 패터닝된 요소들의 주기성은 피치로서 설명될 수 있다.
피치는 2개의 인접 요소의 동일한 포인트 사이의 거리를 설명한다. 리소그래피 기술은 옵틱 및 광 또는 복사 파장과 같은 요인들로 인해 최소 피치 미만의 요소를 신뢰성 있게 형성할 수 없다. 따라서, 리소그래피 기술의 최소 피치는 요소 크기 감소에 대한 장애물이다.
최소 피치를 초과하는 리소그래피 기술의 특성을 확장시키는 기술은 피치 분할 또는 패턴 밀도 증폭 기술로서 지칭된다. 예를 들어, 피치가 절반이 되면 이 감소는 피치 2배화(pitch doubling)로 지칭되고, 피치가 1/4이 되면 이 감소는 피치 4배화(pitch quadrupling) 또는 피치 쿼드(pitch quad)로 지칭된다.
종래 피치 쿼드 기술은 통상적으로 패턴을 하드 마스크층으로 전사하기 전에 라인 감소가 종료될 것을 요구한다. 또한, 요소 크기가 15㎚ 미만으로 축소되는 경우, 요소의 물리적 세기는 프로세싱 환경을 견디기에 충분하지 못할 수 있다. 종래 방법에 의해 제조되는 피치 쿼드 라인은 (가령, 공기 중 수증기, 액체 처리로 인한)모세관 형성으로 인한 요소 붕괴 및 (라인들 사이의 공간이 감소됨으로 인한) 단락 문제에 취약하다.
전술한 바와 같이, 피치 쿼드 기술은 최소 피치를 초과하는 리소그래피 기술의 기능을 확장시킨다. 본 명세서에서 설명되는 피치 쿼드 기술은 피치 쿼드 라인이 단락 문제를 방지하기 위해 필요한 공간 분리를 갖는 것을 보장하도록 추가 공정을 사용함으로써 종래 기술과 상이하다. 본 명세서에서 설명되는 피치 쿼드 기술은 피치 쿼드 라인의 구조적 견고성을 증가시키는 처리 동작을 추가로 사용한다.
본 명세서에 기재되어 있는 바와 같이, 피치 쿼드는 2개의 하드 마스크층을 포함하는 패터닝 스택을 사용하는 이중 "피치 2배화" 공정(가령, 피치를 절반으로 하기 위해 패턴에 스페이서층을 형성하는 공정)을 통해 달성될 수 있다. 일 실시예에서, 포토-레지스트 패드는 패턴을 형성하기 위해 패터닝 스택에 포함되는 제 1 스페이서 세트와 중첩하도록 배치된다. 그 후, 이 패턴은 패터닝 스택의 제 1 하드 마스크층으로 에칭된다. 다른 스페이서층이 에칭된 패턴에 증착되고, 제 1 하드 마스크층이 선택적으로 제거되어 제 2 스페이서 세트를 형성한다. 제 2 스페이서 세트가 추가 처리되어 최종 피치 쿼드 마스크 패턴을 생성하며, 패터닝 스택의 제 2 하드 마스크층으로 전사된다.
다른 실시예에서, "네거티브 스페이서"를 형성하기 위해 포토 레지스트 패드의 사용 없이 추가 스페이서층 대신에 "피치 쿼드 라인의 "상어 이빨(shark jaw)" 시리즈가 제조된다. 네거티브 스페이서는 후속적으로 제거되는(즉, 네거티브 결코 스페이서는 최종 패턴의 라인을 구성하지 않는다) 배치된 스페이서층을 포함하여 엇갈린 "상어 이빨" 형상으로 이격되는 라인 패턴을 생성한다.
쿼드 피치 라인이 15㎚ 미만의 수평 크기를 포함하는 경우, 라인의 물리적 세기는 공정 환경을 견디기에 충분하지 않을 수 있다. 패턴 왜곡 및 손상은 종래 기술에 의해 제조되는 피치 쿼드 라인의 종래 종횡비를 사용하여 제어하기 어려울 수 있다. 일 실시예에서, 2개의 전체 스택 에칭이 공정 도안에 개별적인 피치 쿼드 라인을 피하도록 사용되는데, 각 라인은 각 라인들 사이의 간격과 동일한 수평 크기를 포함한다(즉, 최종 피치 쿼드 라인은 라인들 사이의 간격과 동일한 수평 크기를 포함할 수 있지만 이는 공정 단계 동안에는 피한다). 이 실시예는 공정 중에 마주치는 라인의 너비/수평 크기에 대한 라인의 깊이/수직 크기의 증가된 비율로 인해 증가된 물리적 안정성을 갖는 라인을 처리한다.
본 발명에 의하면, 피치 분할된 라인의 물리적 안정성을 증가시키는 피치 분할 기술을 제공한다.
다음의 상세한 설명은 본 발명의 실시예를 도시하는 도면의 설명을 포함한다. 도면은 제한적인 것이 아닌 예시적인 것이다. 본 명세서에서 사용되는 바와 같이, 하나 이상의 "실시예"를 지칭하는 것은 본 발명의 적어도 하나의 구현에 포함되는 특정 형상, 구조 또는 특징을 설명하는 것으로 이해되어야 한다. 따라서, 본 명세서에서 보이는 "일 실시예에서" 또는 "다른 실시예에서"와 같은 문구는 본 발명의 다양한 실시예 및 구현을 설명하며 반드시 모두 동일한 실시예를 지칭하는 것이 아니다. 그러나, 반드시 서로 배타적인 것도 아니다.
도 1a-1f는 포토 레지스트 패드를 사용하여 피치 쿼드 라인을 생성하는 예시적 프로세스를 도시하고 있다.
도 2a-2f는 네거티브 스페이서를 사용하여 피치 쿼드 라인을 생성하는 예시적 프로세스 동작을 도시하고 있다.
도 3a-3h는 라인의 너비/수평 크기에 대한 라인의 깊이/수직 크기의 비로 인해 증가된 물리적 안정성을 갖는 라인을 생성하는 예시적 프로세스를 도시하고 있다.
도면을 설명을 포함하는 이하의 세부 사항 및 구현의 설명이 이어지는데, 이는 이하 설명되는 실시예의 일부 또는 전부 및 본 발명의 다른 가능한 실시형태 또는 구현을 도시할 수 있다. 본 발명의 개요가 이하 제공되며, 도면을 참조하여 보다 상세히 설명한다.
이하의 설명은 본 발명의 실시예의 완전한 설명을 제공하기 위해 물질의 종류, 에칭 화학물 및 공정 조건과 같은 예를 제공한다. 그러나, 당업자는 본 발명의 이들 특정 세부사항 없이도 실시될 수 있음을 이해할 것이다.
본 발명의 실시예를 이해하기 위해 필요한 처리 동작 및 구조를 상세히 설명할 것이다. 이하의 설명은 반도체 장치를 제조하기 위한 완전한 공정 플로우를 구성하지 않으며 설명되는 반도체 구조는 완전한 반도체 장치를 구성하지 않는다. 반도체 구조로부터 완전한 반도체 장치를 구성하기 위한 추가적인 동작은 이 기술 분야에 알려진 제조 기술에 의해 수행될 수 있다.
본 명세서에 포함된 도면은 어떤 특정 반도체 구조 또는 반도체 장치의 실제 규격대로 도시된 것이 아니며 실제 도면을 의미하는 것이 아니다. 오히려, 도면은 본 발명을 설명하기 위해 사용되는 단지 이상적 표현일 뿐이다. 또한, 도면들 사이의 공통된 요소는 동일한 참조부호로 표시될 수 있다.
도 1a 내지 1g는 본 발명에 따라 처리된 패터닝 스택의 평면도 및 단면도를 도시하고 있다. 도 1a를 참조하면, 패터닝 스택(100)은 제 1 유전 반사 방지 코칭(DARC)층(120), 제 1 하드 마스크층(130), 제 2 DARC층(140), 제 2 하드 마스크층(150), 얇은 실리콘 다이옥사이드층(159) 및 기판층(160)을 포함한다. 제 1 및 제 2 하드 마스크층(130 및 150)은, 예를 들어, 투명 카본, 무정형 카본, 실리콘 함유 하드마스크 또는 금속 함유 하드마스크 중 하나를 포함할 수 있다.
전술한 바와 같이, 패터닝 스택층의 설명은 각 층의 규격을 정확히 나타내도록 의도된 것이 아니다. 예를 들어, 에칭-스톱으로 기능하는 제 1 및 제 2 DARC층은 각각 204㎚ 두께를 포함할 수 있으며, 제 1 및 제 2 하드 마스크층은 각각 50-100㎚ 두께를 포함할 수 있다.
패터닝 스택(100)은 포토 레지스트 패턴(110)을 더 포함할 수 있다. 이 실시예에서, 포토 레지스터 패턴(110)은 넓은 패드(113)를 갖는 라인(111 및 112)을 포함하는데, 이는 추후 콘탠트 랜딩 패드(후술함)를 위해 후속적으로 분기될 수 있다. 라인(111 및 112)은 4F의 수평 크기를 가지며 4F의 거리만큼 균등하게 이격되는데, 여기서 8F가 최소 리소그래피 피치이다.
도 1a는 스페이서(115)를 구성하는 포토 레지스터 패턴(110)에 스페이서층이 적용된 후의 패터닝 스택(110)을 추가로 도시하고 있다. 이 스페이서층( 및 후술할 후속 스페이서층)은 임의의 저온, 부합 박막 증착(가령, 실리콘 다이옥사이드, 실리콘 니트라이드, 실리콘 카보네이트, 실리콘 옥사이니트라이드)을 포함할 수 있다. 이 스페이서층( 및 후술할 후속 스페이서)은 공지된 방법에 따라 증착될 수 있는데, 가령, O3 및 TEOS를 사용하여 실리콘 옥사이드를 형성하는 화학 기상 증착, 산소 및 질소 전구체를 갖는 실리콘 전구체를 사용하여 실리콘 옥사이드 및 니트라이드를 형성하는 원자층 증착이 있다. 스페이서(115)는 공지된 임의의 방법(가령, DARC 층(120)에서 선택적으로 중단되는 반응성 이온 에칭(RIE) 공정)에 의해 형성될 수 있다. 스페이서(115)는 포토 레지스트 패턴의 1/4의 수평 크기, 즉, 1F를 포함할 수 있다. 이는 패턴의 최종 수평 크기일 것이다.
도 1b는, 스페이서(115)가 형성된 후, 포토레지스터 패턴(110)이 O2를 통해 선택적으로 제거되거나 가스 플라즈마 공정을 형성하여 스페이서(115)를 노출하는 것을 도시하고 있다. 포토레지스터(110)는 습식 에칭 공정을 통해서도 제거될 수 있다.
포토레지스트 패턴(110)이 제거된 후, 포토레지스트 패드(101-104)가 스페이서(115)의 단부의 스페이서에 걸쳐 배치될 수 있다. 패드의 배치는 후술할 후속 스페이서를 분할하거나 분기하도록된다. 따라서, 포토 레지스터 패드(101-104)는 "재분배 스페이서"로서 기능하여 후속 형성된 라인이 서로 너무 근접하지 않고 서로 공간적으로 격리되도록 보장한다. 이 실시예에서, 포토 레지스트 패드(101-104)는 엇갈린(staggered) 배치를 갖는 것으로 도시되어 있다. 이 배치는 최종 패턴 라인에 대한 콘택트 래딩 패드의 후속 배치를 위해 추가 스페이스를 제공할 것이며, 이는 후술할 것이다.
도 1c는 패턴이 스페이서(115)에 의해 형성되고 포토-레지스터 패드(101-104)가 제 1 하드 마스크층(130)으로 전사되어 패턴(135)을 형성하는 것을 도시하고 있다. 이 전사는 RIE 공정을 통해 실행될 수 있다. 다른 실시예(도시 생략)에서, 스페이서(115)는 제 1 하드 마스크층(130)으로 전사되고, 포토 레지스터 패드(101-104)는 (스페이서(115)가 아닌) 하드 마스크층(130)으로 배치되어 후술할 제 2 스페이서층을 재분배한다.
도 1d는 스페이서(136)를 형성하기 위해 패턴(135)에 증착되는 제 2 스페이서층을 도시하고 있다. 스페이서(136)의 수평 크기는 최종 패턴의 최종 주요 크기(즉, 1F)를 결정할 수 있다. 스페이서(136)는 포토 레지스터 패드(101-104)의 이전 배치에 기초하여 확산된다.
도 1e는 공지된 공정(가령, 플라즈마 또는 습식 화학 에칭 공정)을 통해 후속적으로 제거되어 스페이서(136)가 피치 쿼드 라인으로서 남게 되는 잔여 하드 마스크층을 갖는 패턴(135)을 도시하고 있다. 도 1e에 포함된 단면도에 도시된 바와 같이, 스페이서들(136) 사이의 공간은 1F이고 스페이서의 라인의 수평 크기는 1F, 즉, 포토 레지스트 패턴(110)의 초기 리소그래피 피치의 1/4이다. 또한, 스페이서(136)는 8개의 라인을 포함하는 것으로 도시되어 있는데, 즉, 포토 레지스트 패턴(110)에 의해 형성되는 본래 라인 양(2개)의 4배이다.
스페이서(136)의 단부는 선택적 RIE 또는 습식 에칭 공정을 통해 "절단되어(chopped)" 라인(180-187)을 형성할 수 있다. 도시된 바와 같이, 스페이서(136)의 단부는 라인(180-187)의 단부가 서로에 대해 엇갈리는 방식으로 절단될 수 있다.
랜딩 콘택트 패드(190-197)가 단부(180-187)에 배치될 수 있고, 패턴은 도 1f에 도시된 바와 같이 하드 마스크층(150)으로 전사될 수 있다. 랜딩 패드(190-197)는 포토 레지스트 패드(101-104)를 통해 스페이서(136)의 라인에 재분배의 결과로서 서로로부터 구조적으로 격리된다. 랜딩 패드(190-197)는 잠재적 단락 문제가 발생하지 않도록 충분히 이격된다. 이 패턴은 임의의 주변 CMOS 부품과 조합될 수 있다.
최종 하드 마스크 패턴(155)은 기판층(160)에 전사될 수 있다. 기판층(160)은 단일 물질층, 상이한 복수의 물질층, 상이한 물질 또는 구조를 갖는 영역을 갖는 층을 포함할 수 있다는 것을 인식할 것이다. 이들 물질은 반도체, 절연체, 도전체 또는 그 조합을 포함할 수 있다. 예를 들어, 기판은 갈륨 니트라이드, 도핑된 폴리실리콘, 전기 소자 능동 영역 또는 금속층(가령, 텅스텐, 텅스텐 실리사이드, 티타늄 니트라이드, 알루미늄 또는 구리 층 또는 그 조합)을 포함할 수 있다. 전술한 바와 같이, 패턴(155)은 상호접속부와 같은 기판의 도전 요소의 원하는 배치에 직접 대응할 수 있다.
다른 실시예에서, 포토-레지스트 패드(101-104)를 사용하지 않고 피치 쿼드 라인의 "상어 이빨(shark jaw)"시리즈가 생성될 수 있다. 도 2a 내지 2f는 본 발명의 실시예에 따라 처리되는 패터닝 스택의 평면도 및 단면도를 도시하고 있다. 도 2a는 제 1 DARC층(265), 제 1 하드 마스크층(225), 제 2 DARC층(260), 제 2 하드 마스크층(265) 및 기판층(270)을 포함하는 (패터닝 스택(100)과 유사한) 패터닝 스택(200)을 도시하고 있다. 패터닝 스택(200)은 포토 레지스트 패턴(210)을 더 포함할 수 있다. 도시된 실시예에서, 포토 레지스트 패턴(210)의 라인은 4F로부터 레지스트 트림을 포함한 수의 3F의 수평 크기를 포함한다. 예를 들어, 포토 레지스트 패턴(210)은 공지된 임의의 에칭 방법을 사용하여 에칭되어 포토 레지스트 패턴(210)의 라인의 수평 크기를 조절할 수 있다. 바람직하게는, 에칭의 범위는 수정된 라인의 수평 크기가 후술할 후속 형성된 스페이서들 사이에서 원하는 간격에 실질적으로 동일하도록 선택된다.
포토 레지스트 패턴(210)의 크기(201) 및 포토 레지스트 패턴(210) 사이의 간격(202)은 후술할 후속 콘택트 랜딩 패드에 대해 조절될 수 있는 자유도이다. 이들 자유도는 최종 피치 쿼드 라인의 라인 단부의 재분배에도 기여할 수 있다.
스페이서층은 1F의 수평 크기를 갖는 스페이서(215)를 형성하기 위해 포토 레지스트 패턴(210)에 배치된다. 도 2b는 포토 레지스트 패턴(210)이 제거된 후 스페이서(215)에 의해 형성되는 패턴이 마스크층으로 전사되어 패턴(230)을 형성하는 패터닝 스택(200)을 도시하고 있다. 패턴(230)의 라인의 수평 크기는 1F이다.
도 2c는 네거티브 스페이서(240)를 형성하기 위해 배치되고 패턴(230)으로 에칭되는 다른 스페이서층을 도시하고 있다. "네거티브"라는 용어는 본 명세서에서 간격을 생성하기 위해 제거될 스페이서를 설명하기 위해 사용되며, 이는 후술할 것이다. 스페이서(240)의 라인의 수평 크기는 1F이며 각 스페이서(240)를 분리하는 간격도 1F이다.
그 후, 제 1 하드 마스크층(225)은 도 2d에 도시된 바와 같이 충진 물질(250)로 충진될 수 있다. 충진 물질(250)은 포토 레지스트 물질을 포함하는 것으로 도시되어 있다. 이와 달리, 충진 물질(250)은 유기 에칭 물질 또는 하드 마스크층(225 및 265)과 동일한 물질을 포함할 수 있다. 충진 물질(250)이 포토 레지스트 물질인 경우, 절단 패턴(245)은 포토 레지스트 물질을 노출시킴으로써 형성될 수 있다. 충진 물질(250)이 포토 레지스트 물질 이외의 물질인 경우, 절단 패턴(245)은 충진 물질을 포토레지스트로 코팅하고 절단 패턴(245)을 노출시킴으로써 추가로 형성될 수 있다.
도 2d에서, 절단 패턴(245)은 DARC층(260)을 노출시킨다. 절단 패턴(245)은 충진 물질(25)로부터 구성되는 라인(251-256)을 형성한다. 라인(251)은 전기 콘택트를 포함하여 콘탠트 랜딩 패드(라인 252-256, 도시된 바와 같이 유사한 단부를 포함함)에 대한 필요성을 없애는 데에 사용될 수 있는 단부(257)를 포함한다. 충진 물질(250)은 스페이서(240) 및 패턴(230)을 노출시키기 위해 추가 에칭되거나 연마될 수 있다.
도 2e는 네거티브 스페이서(240)가 제거된 패터닝 스택(200)을 도시하고 있다. 네거티브 스페이스(240)는 공지된 임의의 공정(가령, 습식 화학 또는 플라즈마 에칭)을 통해 제거될 수 있다. 패턴(230)은 후속하여 패턴(285)으로 절단될 수 있는데, 이는 도 2 f에 도시된 바와 같이, 제 2 하드 마스크층(265)으로 전사되고 최종적으로 기판(270)으로 전사된다. 따라서, 피치 쿼드 라인(271-284)이 생성된다 - 라인(271-276)은 라인(251-256)에 대응하고 라인(277-284)은 절단 패턴(245)에 대응한다. 절단 패턴(245)은 라인(277-284)에 대해 요구되는 콘택트 랜딩 패드에 대해 형상화될 수 있는데, 이는 이들 라인이 라인(217-276)과 유사한 넓은 단부를 갖지 않기 때문이다. 라인(271-284)은 구조적으로 서로 격리되며, "상어 이빨"배치로 엇갈린 라인으로 인해 어떠한 단락 문제점도 발생하지 않을 것이다.
후술할 본 발명의 실시예는 라인의 너비/수평 크기에 대한 라인의 깊이/수직 크기이 비율로 인해 종래 기술에 비해 물리적 안정성이 증가된 라인을 형성할 트렌치를 제조하는 처리 동작을 포함한다. 전술한 피치 쿼드 방법 실시예를 포함하는 피치 쿼드 프로세싱 동작은 다음의 동작과 조합하여 사용될 수 있다.
도 3a-3h는 본 발명의 실시예에 따라 처리되는 패터닝 스택의 평면도 및 단면도를 도시하고 있다. 도 3a는 DARC층(329), 하드 마스크층(330), 제 1 에칭 스톱층(339), 캡층(340), 제 2 에칭 스톱층(349), 부동 게이트 폴리층(350), 게이트 절연층(359) 및 벌크층(360)을 더 포함하는 패터닝 스택(300)을 도시하고 있다. 캡층(340)은 "희생"캡층으로서 지칭될 수 있는데, 이는 후술할 공정 동안에 제거될 것이다. 캡층(340)은, 예를 들어, 도핑되지 않은 폴리 캡 또는 니트라이드 캡을 포함할 수 있다. 에칭 스톱층(339 및 349)은, 예를 들어, 실리콘 다이옥사이드를 포함할 수 있다.
패터닝 스택(300)은 포토 레지스트 패턴(310)을 더 포함할 수 있다. 스페이서층은 스페이서(315)를 형성하기 위해 포토 레지스트 패턴(310)에 배치될 수 있다. 포토 레지스트 패턴의 라인은 3F의 수평 크기(즉, 전술한 트림 공정을 사용하는 4F의 초기 수평 크기)를 가질 수 있으며, 스페이서(315)는 추가 처리되면 최종 라인의 물리적 세기를 향상시킬 수 있는 수평 크기를 가질 수 있다(스페이서(315)가 반드시 최종 수평 크기를 정의할 필요는 없다는 것을 유의하자).
도 3b는 포토 레지스트 패턴(310)이 선택적을 제거되는 패터닝 스택(300)을 도시하고 있다. 추가 스페이서층(320)은 패턴(321)을 형성하기 위해 스페이서(315)에 배치될 수 있다. 패턴(321)의 라인은 3F의 수평 크기를 포함하는데, 각 라인은 1F의 거리만큼 이격된다. 라인들 사이의 간격은 최종 수평 크기를 정의한다. 이 패턴은 패턴을 하드 마스크층(330)으로 전사하는 마스크로서 사용될 수 있다. 포토 레지스트(311)는 스페이서(315) 및 스페이스층(320)에 의해 형성되는 패턴의 주변 영역에 배치될 수 있다.
도 3c는 하드 마스크층(330)으로 전사되는 패턴(321)을 도시하고 있다. 도시된 바와 같이, 패턴(321)의 라인은 1F 간격만큼 분리되는 3F의 수평 크기를 갖는다. 따라서, 패턴(322)의 라인은 (예를 들어, 1F 간격만틈 분리되는 1F의 수평 크기를 갖는 라인에 비교할 때) 증가된 물리적 안정성을 갖는다. 따라서, 패턴(322)의 라인은 후속 공정 동안의 왜곡에 덜 취약하다.
패턴(322)의 라인을 분리하는 1F의 간격 각각에 대해, 패터닝 스택(300)의 벌크층(360)으로의 에칭은 도 3d에 도시된 바와 같은 트렌치(400) 세트를 생성하기 위해 수행된다. 이 벌크층(360)으로의 에칭은 공지된 임의의 공정에 의해 수행될 수 있다.
잔여 하드 마스크층(330)이 제거될 수 있으며 트렌치(400)는 후속하여 충진 물질로 충진될 수 있다. 충진 물질은 최종 피치 쿼드 라인을 형성하기에 적합한 임의의 물질(가령, 스페이서 옥사이드 물질, 스페이서 니트라이드 물질, STI(shallow trench isolation) 요소를 위한 유전 물질 도전 금속)일 수 있다. 제 1 캡층(340)은 도 3e에 도시된 바와 같이 충진된 트렌치(400)를 노출시키기 위해 제거될 수 있다. 노출된 충진된 트렌치(400) 각각은 3F 간격만큼 분리된 1F의 수평 크기를 가지며, 각 충진된 트렌치의 수직 크기로 인해 증가된 물리적 안정성을 갖는다.
제 2 스페이서층(345)은 라인의 패턴(410)을 형성하기 위해 노출된 제 1 트렌치 세트에 배치될 수 있는데, 각 라인은 도 3f에 도시된 바와 같이 패턴(322)과 유사한 1F 공간만큼 분리되는 3F의 수평 크기를 갖는다.
라인 패턴(410)을 분리하는 1F 공간 각각에 대해, 도 3g에 도시된 바와 같이 패터닝 스택(300)의 벌크층(360)으로의 에칭이 트렌치(450) 세트를 생성하기 위해 수행된다. 트렌치(450) 라인은 서로 자기 정렬되고 전술한 처리 동작으로 인해 충진된다. 최종 자기 정렬은 더블 패터닝과 같은 피치 분할을 위한 종래 방법에 비해 개선된 점인데, 이들 방법은 오정렬에 취약하다.추가 포토 레지스트 마스크(390)는 임의의 패턴을 에지(395)에 추가하도록 적용될 수 있다. 트렌치(450)는 충진된 트렌치(400 및 450)를 포함하는 라인의 패턴을 형성하기 위해 충진 물질로 충진되는데, 각 충진된 트렌치는 도 3h에 도시된 바와 같은 1F만큼 분리되는 1F의 수평 크기를 포함한다. 일 실시예에서, 각 충진된 트렌치(400 및 450)는 3F의 깊이/수직 크기를 갖는다.
"바람직하게는", "공통적으로" 및 "통상적으로"라는 용어는 본 발명의 범위를 제한하거나 본 발명의 구조 또는 기능에 중요하거나 필수적인 소정 특징을 암시하기 위해 사용된 것이 아니라는 것을 유의해야 한다. 오히려, 이들 용어는 단지 본 발명의 특정 실시예에서 사용될 수도 사용되지 않을 수도 있는 대체 또는 추가 특징을 강조하기 위해 의도된 것이다.
특정 실시예를 참조하여 본 발명을 설명하였지만 청구범위에서 정의된 본 발명의 범위로부터 벗어나지 않고 다양한 수정 및 변형이 가능하다는 것이 명백할 것이다. 보다 구체적으로, 본 발명의 일부 양태가 바람직하거나 특히 유리한 것으로 인식되지만 본 발명은 반드시 바람직한 양태에 한정되는 것은 아니다. 본 발명의 범위를 벗어나지 않고 본 발명의 개시 내용을 특정 상황에 적응하도록 많은 변형이 이루어질 수 있다.

Claims (18)

  1. 패터닝 스택의 제 1 하드마스크층상에서 에칭된 제 1 패턴상에 스페이서층을 증착하는 단계 - 상기 제 1 패턴은 제 1 라인 세트에 중첩하도록 배치되는 적어도 하나의 포토 레지스트 패드에 적어도 부분적으로 기초하며, 상기 패터닝 스택은 제 2 하드마스크층을 더 포함함 - 와,
    제 2 패턴을 포함하는 스페이서 세트를 형성하기 위해 상기 제 1 하드마스크층을 선택적으로 제거하는 단계와,
    상기 제 2 패턴을 상기 제 2 하드마스크층상으로 전사하는(transferring) 단계를 포함하는
    방법.
  2. 제 1 항에 있어서,
    상기 스페이서 세트 각각에 대한 단부를 노출시키기 위해 포토 패턴을 적용하는 단계 - 상기 노출된 단부는 서로 공간적으로 분리됨 - 와,
    마스크 패턴을 형성하기 위해 상기 제 2 스페이서 세트의 상기 노출된 단부 각각에 콘택트 랜딩 패드(contact landing pad)의 포토 패턴을 적용하는 단계와,
    상기 마스크 패턴을 상기 제 2 하드마스크층상으로 전사하는 단계를 더 포함하는
    방법.
  3. 제 1 항에 있어서,
    상기 패턴은
    상기 패터닝 스택의 포토 레지스트 패턴상에 초기 스페이서층을 증착하는 단계 - 상기 포토 레지스트 패턴은 제 1 수평 크기를 가짐 - 와,
    초기 스페이서 세트를 노출시키기 위해 상기 포토 레지스트 패턴을 선택적으로 제거하는 단계 - 상기 초기 스페이서 세트는 상기 제 1 수평 크기의 대략 1/4인 수평 크기를 가짐 - 와,
    초기 스페이서 세트에 중첩하도록 상기 적어도 하나의 포토 레지스트 패드를 배치하는 단계와,
    상기 적어도 하나의 포토 레지스트 패드 및 상기 초기 스페이서 세트에 의해 형성된 패턴을 상기 제 1 하드 마스크층상으로 에칭하여 상기 제 1 패턴을 형성하는 단계에 의해 형성되는
    방법.
  4. 제 1 항에 있어서,
    상기 제 1 패턴은
    상기 패터닝 스택의 포토 레지스트 패턴상에 초기 스페이서층을 증착하는 단계 - 상기 포토 레지스트 패턴은 제 1 수평 크기를 가짐 - 와,
    초기 스페이서 세트를 노출시키기 위해 상기 포토 레지스트 패턴을 선택적으로 제거하는 단계 - 상기 초기 스페이서 세트는 상기 제 1 수평 크기의 대략 1/4인 수평 크기를 가짐 - 와,
    상기 초기 스페이서 세트를 상기 제 1 하드마스크층상으로 에칭하는 단계와,
    상기 제 1 패턴을 형성하기 위해 상기 제 1 하드마스크층상의 상기 에칭된 초기 스페이서 세트에 중첩하도록 상기 적어도 하나의 포토 레지스트 패드를 배치하는 단계를 포함하는
    방법.
  5. 제 1 항에 있어서,
    상기 제 1 및 제 2 하드마스크층은 투명 카본, 무정형 카본, 실리콘 함유 하드마스크 및 금속 함유 하드마스크 중 적어도 하나를 포함하는
    방법.
  6. 제 1 항에 있어서,
    상기 스페이서층은 스페이서 옥사이드층 및 스페이서 니트라이드층 중 적어도 하나를 포함하는
    방법.
  7. 제 3 항에 있어서,
    상기 포토 레지스트 패턴을 선택적으로 제거하는 단계 및 상기 제 1 마스크층을 선택적으로 제거하는 단계는, 플라즈마 에칭 공정 및 습식 화학 에칭 공정 중 적어도 하나를 포함하는
    방법.
  8. 제 1 항에 있어서,
    상기 제 2 패턴을 상기 제 2 하드마스크층상으로 전사하는 단계는, 반응성 이온 에칭(reactive ion etch, RIE)을 수행하는 단계를 포함하는
    방법.
  9. 스페이서 세트를 형성하기(develope) 위해 제 1 하드마스크층에 포함되는 패턴상에 스페이서층을 증착하는 단계 - 상기 제 1 하드마스크층은 패터닝 스택에 포함되며, 상기 패터닝 스택은 제 2 하드마스크층을 더 포함함 - 와,
    상기 제 1 하드마스크층에 포함되는 상기 패턴을 충진 물질로 충진하는 단계와,
    제 1 라인 세트를 형성하기 위해 상기 충진 물질의 일부 및 상기 스페이서를 제거하는 단계와,
    상기 충진된 패턴 및 상기 제 1 라인 세트를 상기 제 2 하드마스크층으로 전사하는 단계와,
    상기 제 1 라인 세트로부터 공간적으로 분리된 제 2 라인 세트를 생성하기 위해 상기 제 2 하드마스크층상의 상기 패턴상에 절단 패턴(a chop pattern)을 노출시키는 단계를 포함하는
    방법.
  10. 제 9 항에 있어서,
    상기 충진 물질을 포함하는 상기 제 1 라인 세트를 생성하기 위해 상기 충진된 제 1 하드마스크층상의 초기 절단 패턴을 노출시키는 단계를 더 포함하는
    방법.
  11. 제 9 항에 있어서,
    상기 충진 물질, 상기 제 1 하드마스크층 및 상기 제 2 하드마스크층은 동일한 물질을 포함하는
    방법.
  12. 제 9 항에 있어서,
    상기 충진 물질은 포토 레지스트 물질 및 유기 에칭 저항 물질 중 적어도 하나를 포함하는
    방법.
  13. 제 9 항에 있어서,
    상기 제 1 하드마스트층에 포함되는 상기 패턴은
    초기 스페이서 세트를 형성하기 위해 상기 패터닝 스택에 포함되는 포토 레지스트 패턴상에 초기 스페이서층을 증착하는 단계 - 상기 포토 레지스트 패턴은 제 1 수평 크기를 가짐 - 와,
    상기 초기 스페이서 세트를 노출시키기 위해 상기 패터닝 스택의 상기 포토 레지스트 패턴을 선택적으로 제거하는 단계 - 상기 초기 스페이서 세트는 상기 제 1 수평 크기의 대략 1/4인 수평 크기를 가짐 - 와,
    상기 패턴을 형성하기 위해 상기 초기 스페이서 세트를 상기 제 1 하드마스크층으로 전사하는 단계에 의해 생성되는
    방법.
  14. 제 9 항에 있어서,
    상기 제 1 및 제 2 라인을 상기 패터닝 스택에 더 포함되는 기판으로 전사하는 단계와,
    상기 제 1 및 제 2 라인의 단부에 상호접속을 위한 콘택트 랜딩 패드(contact landing pads)를 패터닝하는 단계를 더 포함하는
    방법.
  15. 제 9 항에 있어서,
    상기 제 1 및 제 2 하드마스크층은 투명 카본, 무정형 카본, 실리콘 함유 하드마스크 및 금속 함유 하드마스크 중 적어도 하나를 포함하는
    방법.
  16. 제 9 항에 있어서,
    상기 스페이서층은 스페이서 옥사이드층 및 스페이서 니트라이드층 중 적어도 하나를 포함하는
    방법.
  17. 제 9 항에 있어서,
    상기 충진 물질의 일부 및 상기 제 2 스페이서 세트를 제거하는 단계는, 상기 충진된 하드마스크층에 습식 화학 에칭 및 반응성 이온 에칭 중 적어도 하나를 수행하는 단계를 포함하는
    방법.
  18. 제 9 항에 있어서,
    상기 충진 물질 중 일부를 제거하는 단계는, 화학 연마 및 기계 연마 중 적어도 하나를 포함하고,
    상기 제 2 스페이서 세트를 제거하는 단계는, 상기 충진된 제 1 하드마스크층에 습식 화학 에칭 및 RIE 중 적어도 하나를 수행하는 단계를 포함하는
    방법.
KR1020120025639A 2009-12-23 2012-03-13 피치 분할 패터닝 방법 KR101683326B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/646,510 2009-12-23
US12/646,510 US8222140B2 (en) 2009-12-23 2009-12-23 Pitch division patterning techniques

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020100133757A Division KR101208797B1 (ko) 2009-12-23 2010-12-23 피치 분할 패터닝 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020160160171A Division KR101906680B1 (ko) 2009-12-23 2016-11-29 피치 분할 패터닝 방법

Publications (2)

Publication Number Publication Date
KR20120034092A true KR20120034092A (ko) 2012-04-09
KR101683326B1 KR101683326B1 (ko) 2016-12-20

Family

ID=44151708

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020100133757A KR101208797B1 (ko) 2009-12-23 2010-12-23 피치 분할 패터닝 방법
KR1020120025639A KR101683326B1 (ko) 2009-12-23 2012-03-13 피치 분할 패터닝 방법
KR1020160160171A KR101906680B1 (ko) 2009-12-23 2016-11-29 피치 분할 패터닝 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020100133757A KR101208797B1 (ko) 2009-12-23 2010-12-23 피치 분할 패터닝 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020160160171A KR101906680B1 (ko) 2009-12-23 2016-11-29 피치 분할 패터닝 방법

Country Status (3)

Country Link
US (2) US8222140B2 (ko)
KR (3) KR101208797B1 (ko)
TW (1) TWI503864B (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101807665B1 (ko) * 2011-08-23 2017-12-12 삼성전자 주식회사 미세 패턴의 형성 방법
US8309462B1 (en) * 2011-09-29 2012-11-13 Sandisk Technologies Inc. Double spacer quadruple patterning with self-connected hook-up
CN103311123B (zh) * 2012-03-14 2016-06-08 中国科学院微电子研究所 半导体器件制造方法
US8697538B1 (en) * 2012-11-07 2014-04-15 Winbond Electronics Corp. Method of forming pattern in substrate
US9245844B2 (en) * 2013-03-17 2016-01-26 Nanya Technology Corporation Pitch-halving integrated circuit process and integrated circuit structure made thereby
US9064813B2 (en) * 2013-04-19 2015-06-23 International Business Machines Corporation Trench patterning with block first sidewall image transfer
US9275873B2 (en) * 2013-09-26 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Masking process and structures formed thereby
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9437447B2 (en) 2014-02-23 2016-09-06 Tokyo Electron Limited Method for patterning a substrate for planarization
KR101860249B1 (ko) 2014-02-23 2018-05-21 도쿄엘렉트론가부시키가이샤 다수의 패터닝된 층을 교차시켜 패턴 밀도를 증가시키는 방법
US9773888B2 (en) 2014-02-26 2017-09-26 Micron Technology, Inc. Vertical access devices, semiconductor device structures, and related methods
US9099531B1 (en) * 2014-07-15 2015-08-04 Altera Corporation Metal routing in advanced process technologies
US9646982B2 (en) * 2014-09-09 2017-05-09 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the semiconductor device
US9911693B2 (en) * 2015-08-28 2018-03-06 Micron Technology, Inc. Semiconductor devices including conductive lines and methods of forming the semiconductor devices
US10553430B2 (en) 2015-09-25 2020-02-04 Intel Corporation Technologies for inverting lithographic patterns and semiconductor devices including high aspect ratio structures
US10073342B2 (en) 2016-03-04 2018-09-11 Micron Technology, Inc. Method of forming patterns
US10163690B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10147607B1 (en) 2017-08-24 2018-12-04 Micron Technology, Inc. Semiconductor pitch patterning
US10262862B1 (en) * 2017-12-21 2019-04-16 Nanya Technology Corporation Method of forming fine interconnection for semiconductor devices
US10431492B1 (en) * 2018-05-28 2019-10-01 Nanya Technology Corporation Method of manufacturing a semiconductor structure
US10497566B1 (en) * 2018-06-19 2019-12-03 Macronix International Co., Ltd. Layout design for fanout patterns in self-aligned double patterning process
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070099431A1 (en) * 2005-11-01 2007-05-03 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
KR20090067016A (ko) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 반도체 소자의 형성 방법
KR20090073157A (ko) * 2006-09-14 2009-07-02 마이크론 테크놀로지, 인크. 효율적인 피치 멀티플리케이션 프로세스

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6373553B1 (en) * 1999-09-20 2002-04-16 Intel Corp. Photo-lithographic method to print a line-space pattern with a pitch equal to half the pitch of the mask
US6618791B1 (en) * 2000-09-29 2003-09-09 Intel Corporation System and method for controlling power states of a memory device via detection of a chip select signal
WO2004001802A2 (en) * 2002-06-21 2003-12-31 Micron Technology, Inc. Nrom memory cell, memory array, related devices and methods
TW586185B (en) * 2003-03-20 2004-05-01 Macronix Int Co Ltd Method for integrating memory cell array and periphery circuit in pitch reduction process
US7148538B2 (en) * 2003-12-17 2006-12-12 Micron Technology, Inc. Vertical NAND flash memory array
US7468323B2 (en) * 2004-02-27 2008-12-23 Micron Technology, Inc. Method of forming high aspect ratio structures
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7470492B2 (en) * 2004-10-29 2008-12-30 Intel Corporation Process window-based correction for photolithography masks
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7539812B2 (en) * 2005-06-30 2009-05-26 Intel Corporation System and method to increase DRAM parallelism
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
KR100790998B1 (ko) * 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US7867843B2 (en) * 2006-12-22 2011-01-11 Intel Corporation Gate structures for flash memory and methods of making same
US7514321B2 (en) * 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8394683B2 (en) * 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
KR20090110172A (ko) * 2008-04-17 2009-10-21 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
CN101963755B (zh) * 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 自对准间隔物多重图形化方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070099431A1 (en) * 2005-11-01 2007-05-03 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
KR20090073157A (ko) * 2006-09-14 2009-07-02 마이크론 테크놀로지, 인크. 효율적인 피치 멀티플리케이션 프로세스
KR20090067016A (ko) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 반도체 소자의 형성 방법
JP2009152613A (ja) * 2007-12-20 2009-07-09 Hynix Semiconductor Inc 半導体素子の形成方法

Also Published As

Publication number Publication date
US8222140B2 (en) 2012-07-17
US20110151668A1 (en) 2011-06-23
US20120181705A1 (en) 2012-07-19
KR101683326B1 (ko) 2016-12-20
KR101906680B1 (ko) 2018-10-10
TWI503864B (zh) 2015-10-11
TW201130015A (en) 2011-09-01
KR101208797B1 (ko) 2012-12-06
KR20110073379A (ko) 2011-06-29
KR20160140561A (ko) 2016-12-07

Similar Documents

Publication Publication Date Title
KR101208797B1 (ko) 피치 분할 패터닝 방법
KR101349989B1 (ko) 반도체 구조체
JP5545524B2 (ja) 効率的なピッチマルチプリケーションプロセス
TWI391988B (zh) 利用間距減縮製造裝置之方法及相關結構
KR100874196B1 (ko) 마스크 물질 변환
US8802510B2 (en) Methods for controlling line dimensions in spacer alignment double patterning semiconductor processing
US11631754B2 (en) Method of fabricating semiconductor device
TWI713089B (zh) 積體電路結構的形成方法
US8647981B1 (en) Methods of forming patterns, and methods of forming integrated circuitry
JP2009027146A (ja) 介挿領域を有するスペーサマスクを用いた頻度の3倍化
US7585727B2 (en) Method for fabricating semiconductor device having bulb-shaped recess gate
US11145760B2 (en) Structure having improved fin critical dimension control
US9613811B2 (en) Methods of manufacturing semiconductor devices
CN220984531U (zh) 半导体装置结构
CN111640667A (zh) 半导体器件及其形成方法
CN221057428U (zh) 半导体装置
US12002715B2 (en) Semiconductor device and method
US20220384270A1 (en) Semiconductor Device and Method
TW202243026A (zh) 半導體裝置的形成方法
CN115566067A (zh) 半导体装置结构
CN117672820A (zh) 半导体结构的形成方法
KR20090022381A (ko) 반도체 소자의 콘택 플러그 제조방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent