TWI503864B - 圖案化方法 - Google Patents

圖案化方法 Download PDF

Info

Publication number
TWI503864B
TWI503864B TW099142343A TW99142343A TWI503864B TW I503864 B TWI503864 B TW I503864B TW 099142343 A TW099142343 A TW 099142343A TW 99142343 A TW99142343 A TW 99142343A TW I503864 B TWI503864 B TW I503864B
Authority
TW
Taiwan
Prior art keywords
pattern
layer
spacer
line
photoresist
Prior art date
Application number
TW099142343A
Other languages
English (en)
Other versions
TW201130015A (en
Inventor
Sanh D Tang
Scott Sills
Haitao Liu
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW201130015A publication Critical patent/TW201130015A/zh
Application granted granted Critical
Publication of TWI503864B publication Critical patent/TWI503864B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electron Beam Exposure (AREA)
  • Apparatus For Radiation Diagnosis (AREA)

Description

圖案化方法 發明領域
本發明之實施例大致上係有關半導體處理,及更特別係有關間距分割技術及提高間距分割線之實體穩定性之處理動作。
發明背景
回應於多項因素包括,攜帶性、運算能力、記憶體容量及能量效率增高的需求,積體電路之形貌體大小持續地縮小。縮小的積體電路之形貌體大小係與用來形成此等形貌體的技術有關。舉例言之,光刻術常用於將積體電路之形貌體(例如導線)圖案化。此等已圖案化之形貌體的週期性可描述為間距。
間距描述兩相鄰形貌體的相同點間之距離。由於光學裝置及光波長或射線波長等因素,光刻術技術無法可靠地形成低於最小間距的形貌體。如此,光刻術技術之最小間距乃形貌體大小縮小的障礙。
將光刻術技術的能力延伸超越其最小間距的技術稱作為間距分割技術或圖案密度倍增技術。舉例言之,當間距減半時,此種縮小係稱作為間距加倍;而當間距為四分之一時,此種縮小係稱作為間距四倍或間距四元化。
先前技術間距四元化技術典型地要求將一圖案移轉至一硬質罩幕層前,先將線縮小完成。此外,若形貌體大小係縮小至小於15奈米,則該形貌體的物理強度可能不足以耐受處理環境。藉先前技術方法所產生之間距四元線易生因毛細力(例如空氣中的水分、流體處理)及短路問題(由於二線間空間的縮小)造成的形貌體塌陷。
依據本發明之一實施例,係特地提出一種方法,其包含下列步驟:於蝕刻在一圖案化堆疊之一第一硬質罩幕層上的一第一圖案上沈積一間隔層,該第一圖案至少部分係基於位置重疊一第一線集合的至少一個光阻襯墊,該圖案化堆疊進一步包括一第二硬質罩幕層;選擇性地去除該第一硬質罩幕層來形成包含一第二圖案的一隔件集合;及移轉該第二圖案至該第二硬質罩幕層上。
圖式簡單說明
後文說明包括舉例說明本發明實施例的實例圖式討論。須瞭解圖式僅供舉例說明而非限制性。如此處使用,述及一或多個「實施例」須瞭解係為描述含括於至少一項本發明之實作的特定特徵、結構、或特性。如此,諸如出現於此處之「於一個實施例」或「於另一個實施例」等詞描述本發明之實作的多個實施例,但並非必要全部指同一個實施例。但也非必然彼此互斥。
第1A-1F圖顯示使用光阻襯墊來形成間距四元線之程序實例。
第2A-2F圖顯示使用負隔件來形成間距四元線之處理動作實例。
第3A-3H圖顯示因線之深度/縱向維度對線之寬度/橫向維度比,而形成具有增高之實體穩定性之線之程序實例。
後文若干細節及實作之描述包括圖式之描述可闡釋部分或全部實施例,以及討論此處呈現之本發明構想之其它可能的實施例或實作。本發明之實施例之綜論提供如下,接著為參考附圖之進一步細節說明。
較佳實施例之詳細說明
後文說明提供實例,諸如材料類別、蝕刻化學、及處理條件以供徹底描述本發明之實施例;但熟諳技藝人士瞭解可未採用此等特定細節實施本發明。
瞭解本發明之實施例所需處理動作及結構容後詳述。後文說明並未構成用以製造半導體元件的完整製程流程,及後述半導體結構並未形成完整半導體元件。自半導體結構形成完整半導體元件的額外動作可藉業界已知之製造技術實施。
如前述,間距四元化技術將光刻術技術的能力延伸至超越其最小間距。此處所述間距四元化技術係與藉由採用額外處理來確保間距四元線具有防止短路問題所需的空間隔離之先前技術不同。此處所述間距四元化技術進一步採用處理動作來提升間距四元線的結構強勁度。
如此處所述,間距四元化可利用包括二硬質罩幕層的圖案化堆疊,透過雙重「間距加倍」處理(亦即於圖案上形成間隔層來對半間距之一種處理程序)達成。於一個實施例,設置光阻襯墊重疊含括於圖案化堆疊上的第一隔件集合而形成圖案。然後將此一圖案蝕刻至該圖案化堆疊之第一硬質罩幕層上。另一間隔層沈積在已蝕刻的圖案上,及第一硬質罩幕層被選擇性地移除而形成第二隔件集合。該第二隔件集合進一步經處理來產生最終間距四元化遮罩圖案,移轉至該圖案化堆疊之第二硬質罩幕層上。
於另一實施例,無需使用光阻襯墊而製造「鯊魚顎」系列之間距四元線,反而使用額外間隔層來形成「負隔件」。負隔件包含沈積的間隔層,其隨後被移除(亦即負隔件未曾形成最終圖案之線)來製造以交錯的「鯊魚顎」形式隔開的線圖案。
若四元間距線包含小於15奈米橫向維度,則該線的物理強度不足以耐受處理環境。圖案扭曲失真及損傷可能難以使用藉先前技術所製造的間距四元線之習知縱橫比控制。於一個實施例,使用兩次完整堆疊蝕刻來於處理期間,避免個別間距四元線,其中各線包含等於各線間之間的橫向維度(亦即,終間距四元線可包含等於二線間之間距的橫向維度,但於處理階段期間須避免如此)。此一實施例處理具有增高的物理穩定性之線,原因在於處理期間遭逢之線的深度/縱向維度對線之寬度/橫向維度比增高。
此處含括之例示說明並未照比例繪製且非表示任何特定半導體結構或半導體元件之實際視圖。反而,例示說明僅為採用來描述本發明之理想化表示型態。此外,各例示說明間之共通元件可保有相同的元件符號。
第1A-1G圖例示說明依據本發明之一實施例處理的圖案化堆疊之頂視圖及剖面圖。參考第1A圖,圖案化堆疊100包括第一介電抗反射被覆(DARC)層120、第一硬質罩幕層130、第二DARC層140、第二硬質罩幕層150、薄二氧化矽層159,及基材層160。第一及第二硬質罩幕層130及150例如包含透明碳、非晶形碳、含矽硬質罩幕、及含金屬硬質罩幕中之一者。
如前述,圖案化堆疊層之例示說明並未實際上表示各層的尺規。舉例言之,第一及第二DARC層係作為蝕刻停止層可各自包含2-4奈米厚度,而第一及第二硬質罩幕層可各自包含50-100奈米厚度。
圖案化堆疊100進一步包括光阻圖案110。於此實施例,光阻圖案110包括具有大型襯墊113及114之線111及112,其隨後分支用於未來接觸著陸襯墊(容後詳述)。線111及112具有4F之橫向維度且相等間隔距離4F,其中8F為最小光刻術間距。
第1A圖進一步例示說明於間隔層施加至光阻圖案110來形成隔件115後之圖案化堆疊100。此一間隔層(及後文討論之接續間隔層)可包含任一種低溫隨形薄膜沈積物(例如二氧化矽、氮化矽、碳酸矽、氧氮化矽)。此一間隔層(及後文討論之接續間隔層)可依據業界已知之方法沈積,例如使用O3及TEOS來形成氧化矽之化學氣相沈積、使用矽前驅物及氧或氮前驅物來形成矽氧化物及矽氮化物之原子層沈積。隔件115可藉業界已知之任一種方法製成(例如選擇性地停止於DARC層120之反應性離子蝕刻(RIE)法)。隔件115可包含光阻圖案的四分之一橫向維度,亦即1F。如此將成為圖案的最終橫向維度。
第1B圖例示說明於隔件115形成後,光阻圖案110然後透過氧電漿蝕刻或形成氣體電漿蝕刻法選擇性地去除來暴露隔件115。也可透過濕蝕刻法去除光阻110。
於光阻圖案110去除後,光阻襯墊101-104可設置於隔件上方位在隔件115末端。襯墊的設置使得其將分割或分支接續的隔件,容後詳述。如此光阻襯墊101-104係作為「重分配隔件」來確保隨後所形成之各線不會太過接近且在空間上彼此隔開。於此實施例,光阻襯墊101-104係例示說明為具有交錯設置。此種設置將提供額外空間供隨後對最終圖案線設置接觸著陸襯墊之用,容後詳述。
第1C圖例示說明藉隔件115及光阻襯墊101-104移轉至第一硬質罩幕層130而形成圖案135所形成之圖案。此項移轉可透過RIE法執行。於另一實施例(圖中未顯示),隔件115移轉至第一硬質罩幕層130,及光阻襯墊101-104設置於硬質罩幕層130(而非隔件115)上來重分配第二間隔層,容後詳述。
第1D圖例示說明第二間隔層沈積於圖案135上而形成隔件136。隔件136之橫向維度可決定所得圖案之終臨界維度(亦即1F)。隔件136係基於光阻襯墊101-104之先前設置而展開。
第1E圖顯示帶有剩餘硬質罩幕層之圖案135隨後透過業界已知方法(例如使用電漿蝕刻法或濕化學蝕刻法)移除,使得隔件136維持為間距四元線。如含括於第1E圖之剖面圖顯示,隔件136間之間距為1F,而隔件136之線的橫向維度為1F,亦即光阻圖案110之初光刻術間距的四分之一。此外,如圖所示,隔件136包含8線,亦即由光阻圖案110所形成的原先線數(2)的四倍。
隔件136末端可透過選擇性RIE或濕蝕刻法「切斷」而形成線180-187。如圖所示,隔件136末端可以下述方式切斷使得線180-187係彼此交錯。
著陸接觸襯墊190-197可設置於末端180-187上,然後圖案可移轉至硬質罩幕層150,如第1F圖所示。由於在隔件136線上,透過光阻襯墊101-104重分配結果,著陸襯墊190-197於結構上彼此隔離。著陸襯墊190-197係充分隔開,因而消弭可能的短路問題。此一圖案可與任一種周邊CMOS組件組合。
所得硬質罩幕圖案155可移轉至基材層160。須瞭解基材層160可包括一層單一材料、多層不同材料、具有不同材料或結構於其中的一層或多層等。此等材料可包括半導體、絕緣體、導體、或其組合。舉例言之,基材可包含氮化鎵、摻雜多晶矽、電氣裝置主動區、或金屬層(例如鎢、矽化鎢、氮化鈦、鋁層或銅層、或其組合)。如前述,圖案155可與基材中的導電形貌體諸如互連結構的期望設置直接相對應。
於另一實施例,可未使用光阻襯墊101-104而製造「鯊魚顎」系列之間距四元線。第2A-2F圖例示說明依據本發明之一實施例處理的圖案化堆疊之頂視圖及剖面圖。第2A圖例示說明圖案化堆疊200(類似圖案化堆疊100)包括第一介電抗反射被覆(DARC)層220、第一硬質罩幕層225、第二DARC層260、第二硬質罩幕層265,及基材層270。圖案化堆疊200進一步包括光阻圖案210。於所示實施例,於含括自4F的抗蝕劑裁剪後,光阻圖案210之各線包含3F之橫向維度。舉例言之,光阻圖案210可使用業界已知之任一種方法經蝕刻來調整光阻圖案210各線之橫向維度。蝕刻程度較佳係選擇使得已修正線之橫向維度係實質上等於隨後所形成的隔件間之期望間距,容後詳述。
光阻圖案210之維度201及光阻圖案210之各線間的間隔202有可經調整來考慮隨後接觸著陸襯墊的自由度,容後詳述。此等自由度可進一步促成終間距四元線之線端的重分配。
間隔層沈積於光阻圖案210上來形成具有1F之橫向維度的隔件215。第2B圖顯示光阻圖案210已被移除,及藉隔件215所形成之圖案移轉至第一硬質罩幕層225來形成圖案230所形成的圖案之圖案化堆疊200。圖案230之線的橫向維度為1F。
第2C圖例示說明沈積且蝕刻至圖案230上來形成負隔件240之另一間隔層。「負」隔件一詞用於此處描述欲被去除而形成一間的隔件,容後詳述。負隔件240之線的橫向維度為1F,及分開各個負隔件240之間也是1F。
然後第一硬質罩幕層225以填充材料250填充,如第2D圖所示。如圖所示之填充材料250包含光阻材料。填充材料250另可包含有機蝕刻材料或與硬質罩幕層225及265相同的材料。若填充材料250為光阻材料,則藉由將光阻材料曝光可形成切斷圖案245。若填充材料250為光阻材料以外之材料,則藉由以光阻進一步被覆填充材料及將切斷圖案245曝光,可形成切斷圖案245。
於第2D圖中,切斷圖案245暴露DARC層260。切斷圖案245形成自填充材料250所製成的線251-256。線251包括端257,其可用來包括電接點,如此免除接觸著陸襯墊(如圖所示,線252-256包括類似的端)的需要。填充材料250可進一步經蝕刻或研磨來暴露隔件240及圖案230。
第2E圖顯示負隔件240已被去除的圖案化堆疊200。負隔件240可透過業界已知之任一種方法(例如濕化學蝕刻或電漿蝕刻)而被去除。圖案230隨後可經切斷成圖案285,其移轉至第二硬質罩幕層265,及最終移轉至基材270,如第2F圖所示。如此形成間距四元線271-284,亦即對應於線251-256之線271-276,及對應於切斷圖案245之線277-284。切斷圖案245可經成形來考慮線277-284所要求的接觸著陸襯墊,原因在於此等線不具有類似線217-276的寬端。線271-284於結構上彼此隔離,由於線的交錯「鯊魚顎」位置而不會產生任何短路問題。
後述本發明之實施例包含處理動作來產生溝槽,該等溝槽因線之深度/縱向維度對線之寬度/橫向維度比,而形成比較先前技術具有增高之實體穩定性之線。間距四元化處理動作包括前述間距四元化方法實施例來結合下列操作使用。
第3A-3H圖例示說明依據本發明之一實施例處理的圖案化堆疊之頂視圖及剖面圖。第3A圖顯示圖案化堆疊300進一步包括DARC層329、硬質罩幕層330、第一蝕刻停止層339、蓋層340、第二蝕刻停止層349、浮動閘極多晶矽層350、閘極介電層359,及本體層360。蓋層340稱作為「犧牲」蓋層,原因在於該層將於後述處理期間被移除。蓋層340例如可包含未經摻雜之多晶矽蓋或氮化物蓋。蝕刻停止層339及349例如可包含二氧化矽。
圖案化堆疊300可進一步包括光阻圖案310。間隔層可沈積在光阻圖案310上用以形成隔件315。光阻圖案之線具有3F之橫向維度(亦即以前述裁剪處理4F之初橫向維度),及隔件315可具有橫向維度,其當進一步處理時,可改良終線的物理強度(注意隔件315並非必然界定最終橫向維度)。
第3B圖例示說明具有光阻圖案310被選擇性地去除之圖案化堆疊300。額外間隔層320可沈積至隔件315上來形成圖案321。圖案321之線包含3F之橫向維度,各線間隔1F距離。在各線間之該等間界定最終橫向維度。此一圖案可用作為移轉至硬質罩幕層330來形成圖案之一遮罩。光阻311可沈積在由隔件315及間隔層320所形成圖案的周邊區。
第3C圖例示顯示移轉至硬質罩幕層330之圖案320。如圖所示,圖案321之線具有3F之橫向維度,由1F間而隔開。如此圖案322之線具有增高的實體穩定性(例如比較藉1F間而隔開的具有1F橫向維度之線)。如此圖案322之線對隨後處理期間的扭曲失真較不敏感。
對隔開圖案322各線之各個1F間,施行蝕刻入圖案化堆疊300之本體層360來形成溝槽400集合,如第3D圖所示。該蝕刻入本體層360可藉業界已知之任一種方法執行。
剩餘硬質罩幕層330可被移除,及溝槽400隨後可以填充材料填充。填充材料可為適用於形成所得間距四元線的任一種材料(例如間隔氧化物材料、間隔氮化物材料、淺溝槽隔離(STI)形貌體之介電材料、導體材料)。第一蓋層340可被移除來暴露出已填充的溝槽400,如第3E圖所示。暴露出的已填充溝槽400各自具有藉3F間隔開的1F橫向維度,及因各已填充的溝槽之縱向維度而具有增高的實體穩定性。
第二間隔層345可沈積在暴露出的第一溝槽集合上而形成線圖案410,類似圖案322,各線具有藉1F間隔開的3F橫向維度,如第3F圖所示。
對隔開線圖案410之各個1F間,施行蝕刻入圖案化堆疊300之本體層360來形成一溝槽450集合,如第3G圖所示。由於前述處理動作,溝槽450線係彼此自行排齊且與已填充溝槽400排齊。結果所得自行排齊效果即改進了先前技術之間距分割方法,諸如雙倍圖案化方法,原因在於此等習用方法易於產生未排齊現象。可施用額外光阻罩幕390來增加任何圖案至邊緣395。溝槽450係以填充材料填充來形成包含已填充溝槽400及450之線圖案,各個已填充溝槽包含藉1F間隔開的1F橫向維度,如第3H圖所示。於一個實施例,已填充溝槽400及450各自具有3F之深度/縱向維度。
須注意前文使用的「較佳地」、「常見地」及「典型地」等術語並非用來囿限本案所請求專利之發明範圍或暗示某些特徵對本案所請求專利之發明的結構或功能具有特殊限制、必要性或甚至重要性。反而此等術語僅意圖強調可能用於或可能未用於本發明之特定實施例之其它或額外特徵。
已經以細節及參考特定實施例描述本發明,顯然易知未悖離隨附之申請專利範圍界定之本發明之範圍,可做出修正及變化。更明確言之,雖然於此處已經識別若干本發明之構面為較佳或特優,但預期本發明並非必然囿限於此等本發明之較佳構面。可未悖離本發明之範圍做出多項修正來將本發明之教示調整適應於特殊情況。
100、200、400...圖案化堆疊
101~104...光阻襯墊
110、210、310‧‧‧光阻圖案
111、112、251-256‧‧‧線
113、114‧‧‧大型襯墊
115、136、215、315‧‧‧隔件
120、140、220、260、329‧‧‧介電抗反射被覆(DARC)層
130、150、225、265、330‧‧‧硬質罩幕層
135、230、285、321、322‧‧‧圖案
155‧‧‧硬質罩幕圖案
159‧‧‧薄二氧化矽層
160、270‧‧‧基材層
180-187‧‧‧線、端
190-197‧‧‧著陸接觸襯墊、接觸著陸襯墊
201‧‧‧維度
202‧‧‧間隔
240‧‧‧負隔件
245‧‧‧切斷圖案
250‧‧‧填充材料
257‧‧‧端
271-284‧‧‧間距四元線
320、345‧‧‧間隔層
339、349‧‧‧蝕刻停止層
340‧‧‧蓋層
350‧‧‧浮動閘極多晶矽層
359‧‧‧閘極介電層
360‧‧‧本體層
400、450‧‧‧溝槽
410‧‧‧線圖案
第1A-1F圖顯示使用光阻襯墊來形成間距四元線之程序實例。
第2A-2F圖顯示使用負隔件來形成間距四元線之處理動作實例。
第3A-3H圖顯示因線之深度/縱向維度對線之寬度/橫向維度比,而形成具有增高之實體穩定性之線之程序實例。
200‧‧‧圖案化堆疊
310‧‧‧光阻圖案
315‧‧‧隔件
329‧‧‧介電抗反射被覆(DARC)層
330‧‧‧硬質罩幕層
339,349‧‧‧蝕刻停止層
340‧‧‧蓋層
350‧‧‧浮動間極多晶矽層
359‧‧‧閘極介電層
360‧‧‧本體層

Claims (9)

  1. 一種圖案化方法,其包含下列步驟:於一第一組之隔件上沈積一第一間隔層以形成一第一圖案之線,該第一圖案具有由在一圖案化堆疊上所含有的1F間隔所隔開之為3F的橫向維度,其中,1F為一第一最終形貌體大小,且3F為係1F之大小之三倍的一第二大小,該圖案化堆疊進一步包括一硬質罩幕層、一第一蝕刻停止層、一第二蝕刻停止層、及一本體層;將該第一圖案移轉至該硬質罩幕層;對隔開該第一圖案之該等線的該等1F間隔中之各者,進行進入該圖案化堆疊之該本體層的蝕刻,以創造出一第一組槽溝;去除剩餘的該硬質罩幕層,並以一填充材料填充該等第一組槽溝中之各個槽溝;去除該第一蝕刻停止層,以暴露經填充之該等第一槽溝;於遭暴露的經填充之該等第一組槽溝上沈積一第二間隔層,以形成一第三圖案之線,該第三圖案具有由1F間隔所隔開之為3F的橫向維度;對隔開該第三圖案之該等線的該等1F間隔中之各者,進行進入該圖案化堆疊之該本體層的蝕刻,以創造出一第二組槽溝;以及以該填充材料填充該等第二組槽溝中之各個溝槽,以形成一第四線圖案之線,該第四圖案包含經填充 之該等第一組和第二組槽溝,其中,該第四線圖案之線包含由1F間隔所隔開之為1F的橫向維度。
  2. 如申請專利範圍第1項之方法,其中,該第一組之該等隔件係藉由下列步驟所產生:在該圖案化堆疊所含有的一光阻圖案上沈積一初始間隔層;以及選擇性地將該光阻圖案移離該圖案化堆疊以形成該第一組之該等隔件,其中,該等隔件各包含為1F的橫向維度且係以3F分隔開。
  3. 如申請專利範圍第1項之方法,其進一步包含下列步驟:將該圖案化堆疊研磨至該第二蝕刻停止層以暴露該等第一組和第二組槽溝。
  4. 如申請專利範圍第1項之方法,其進一步包含下列步驟:在該第一圖案之周邊區域上沉積光阻層。
  5. 如申請專利範圍第1項之方法,其進一步包含下列步驟:施加一額外光阻層來形成用於該第三圖案的多個邊緣;以及在該第三圖案之該光阻層上進行蝕刻。
  6. 如申請專利範圍第1項之方法,其中,該第一間隔層、該第二間隔層及該填充材料各包含一間隔氧化物,並且該硬質罩幕層包含透明碳及非晶形碳中之至少一者。
  7. 如申請專利範圍第1項之方法,其中,該填充材料包含介電材料和導體金屬中之至少一者。
  8. 如申請專利範圍第1項之方法,其中,進行蝕刻之步驟 包含:進行反應性離子蝕刻(RIE)。
  9. 如申請專利範圍第1項之方法,其中,該第四圖案之該等線包含為1F的橫向維度。
TW099142343A 2009-12-23 2010-12-06 圖案化方法 TWI503864B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/646,510 US8222140B2 (en) 2009-12-23 2009-12-23 Pitch division patterning techniques

Publications (2)

Publication Number Publication Date
TW201130015A TW201130015A (en) 2011-09-01
TWI503864B true TWI503864B (zh) 2015-10-11

Family

ID=44151708

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099142343A TWI503864B (zh) 2009-12-23 2010-12-06 圖案化方法

Country Status (3)

Country Link
US (2) US8222140B2 (zh)
KR (3) KR101208797B1 (zh)
TW (1) TWI503864B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109950160A (zh) * 2017-12-21 2019-06-28 南亚科技股份有限公司 半导体元件的精细互连的制备方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101807665B1 (ko) * 2011-08-23 2017-12-12 삼성전자 주식회사 미세 패턴의 형성 방법
US8309462B1 (en) * 2011-09-29 2012-11-13 Sandisk Technologies Inc. Double spacer quadruple patterning with self-connected hook-up
CN103311123B (zh) * 2012-03-14 2016-06-08 中国科学院微电子研究所 半导体器件制造方法
US8697538B1 (en) * 2012-11-07 2014-04-15 Winbond Electronics Corp. Method of forming pattern in substrate
US9245844B2 (en) * 2013-03-17 2016-01-26 Nanya Technology Corporation Pitch-halving integrated circuit process and integrated circuit structure made thereby
US9064813B2 (en) * 2013-04-19 2015-06-23 International Business Machines Corporation Trench patterning with block first sidewall image transfer
US9275873B2 (en) * 2013-09-26 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Masking process and structures formed thereby
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9437447B2 (en) 2014-02-23 2016-09-06 Tokyo Electron Limited Method for patterning a substrate for planarization
US9240329B2 (en) 2014-02-23 2016-01-19 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
US9773888B2 (en) 2014-02-26 2017-09-26 Micron Technology, Inc. Vertical access devices, semiconductor device structures, and related methods
US9099531B1 (en) * 2014-07-15 2015-08-04 Altera Corporation Metal routing in advanced process technologies
US9646982B2 (en) * 2014-09-09 2017-05-09 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the semiconductor device
US9911693B2 (en) 2015-08-28 2018-03-06 Micron Technology, Inc. Semiconductor devices including conductive lines and methods of forming the semiconductor devices
WO2017052614A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Technologies for inverting lithographic patterns and semiconductor devices including high aspect ratio structures
US10073342B2 (en) 2016-03-04 2018-09-11 Micron Technology, Inc. Method of forming patterns
US10163690B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10304728B2 (en) * 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10147607B1 (en) 2017-08-24 2018-12-04 Micron Technology, Inc. Semiconductor pitch patterning
US10431492B1 (en) * 2018-05-28 2019-10-01 Nanya Technology Corporation Method of manufacturing a semiconductor structure
US10497566B1 (en) * 2018-06-19 2019-12-03 Macronix International Co., Ltd. Layout design for fanout patterns in self-aligned double patterning process
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200419724A (en) * 2003-03-20 2004-10-01 Macronix Int Co Ltd Method for integrating memory cell array and periphery circuit in pitch reduction process
US20060046422A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Methods for increasing photo alignment margins
US20070099431A1 (en) * 2005-11-01 2007-05-03 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20080149987A1 (en) * 2006-12-22 2008-06-26 Ramakanth Alapati Gate structures for flash memory and methods of making same
CN101496141A (zh) * 2006-05-10 2009-07-29 朗姆研究公司 节距缩小

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6373553B1 (en) 1999-09-20 2002-04-16 Intel Corp. Photo-lithographic method to print a line-space pattern with a pitch equal to half the pitch of the mask
US6618791B1 (en) 2000-09-29 2003-09-09 Intel Corporation System and method for controlling power states of a memory device via detection of a chip select signal
WO2004001802A2 (en) 2002-06-21 2003-12-31 Micron Technology, Inc. Nrom memory cell, memory array, related devices and methods
US7148538B2 (en) 2003-12-17 2006-12-12 Micron Technology, Inc. Vertical NAND flash memory array
US7468323B2 (en) 2004-02-27 2008-12-23 Micron Technology, Inc. Method of forming high aspect ratio structures
US7470492B2 (en) 2004-10-29 2008-12-30 Intel Corporation Process window-based correction for photolithography masks
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7539812B2 (en) 2005-06-30 2009-05-26 Intel Corporation System and method to increase DRAM parallelism
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100790998B1 (ko) * 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US7514321B2 (en) 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
KR101024712B1 (ko) * 2007-12-20 2011-03-24 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US8394683B2 (en) 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
KR20090110172A (ko) * 2008-04-17 2009-10-21 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
TWI476816B (zh) * 2009-06-26 2015-03-11 羅門哈斯電子材料有限公司 自我對準間隔之多重圖案化方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200419724A (en) * 2003-03-20 2004-10-01 Macronix Int Co Ltd Method for integrating memory cell array and periphery circuit in pitch reduction process
US20060046422A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Methods for increasing photo alignment margins
US20070099431A1 (en) * 2005-11-01 2007-05-03 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
CN101496141A (zh) * 2006-05-10 2009-07-29 朗姆研究公司 节距缩小
US20080149987A1 (en) * 2006-12-22 2008-06-26 Ramakanth Alapati Gate structures for flash memory and methods of making same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109950160A (zh) * 2017-12-21 2019-06-28 南亚科技股份有限公司 半导体元件的精细互连的制备方法
CN109950160B (zh) * 2017-12-21 2020-09-08 南亚科技股份有限公司 半导体元件的精细互连的制备方法

Also Published As

Publication number Publication date
KR101683326B1 (ko) 2016-12-20
TW201130015A (en) 2011-09-01
KR20160140561A (ko) 2016-12-07
US20120181705A1 (en) 2012-07-19
US8222140B2 (en) 2012-07-17
KR101208797B1 (ko) 2012-12-06
KR101906680B1 (ko) 2018-10-10
KR20120034092A (ko) 2012-04-09
KR20110073379A (ko) 2011-06-29
US20110151668A1 (en) 2011-06-23

Similar Documents

Publication Publication Date Title
TWI503864B (zh) 圖案化方法
US20200090929A1 (en) Methods for device fabrication using pitch reduction
TWI447848B (zh) 形成記憶體單元陣列之方法,形成複數個場效電晶體之方法,形成源極/汲極區域及隔離溝渠之方法及在基板中形成一系列間隔溝渠之方法
TWI356446B (en) Methods to reduce the critical dimension of semico
JP5545524B2 (ja) 効率的なピッチマルチプリケーションプロセス
TWI476815B (zh) 於半導體結構中形成分離主動區域、渠溝及導線之方法以及包含其之半導體結構
US11121039B2 (en) FinFET structures and methods of forming the same
US8647981B1 (en) Methods of forming patterns, and methods of forming integrated circuitry
US9773680B1 (en) Advanced method for scaled SRAM with flexible active pitch
US10242910B2 (en) Contact structure and associated method for flash memory
TW201839816A (zh) 半導體裝置的形成方法
US20220122887A1 (en) Semiconductor Devices and Methods of Manufacture
EP3188225A2 (en) Semiconductor device with local interconnect structure and manufacturing method thereof
WO2023040071A1 (zh) 半导体结构及其制造方法
US11145760B2 (en) Structure having improved fin critical dimension control
TWI549227B (zh) 記憶元件及其製造方法
KR100886004B1 (ko) 반도체 소자 제조 방법
CN105789208B (zh) 存储元件及其制造方法
JP2020528215A (ja) 自己整合コンタクトを形成する方法およびデバイス構造体
US11615965B2 (en) Semiconductor FinFET device and method
US20230187216A1 (en) Semiconductor FinFET Device and Method
US20220384270A1 (en) Semiconductor Device and Method
CN114792624A (zh) 半导体结构的形成方法
CN116390490A (zh) 半导体结构的制备方法