KR20110085909A - 기판 처리 방법 및 기억 매체 - Google Patents

기판 처리 방법 및 기억 매체 Download PDF

Info

Publication number
KR20110085909A
KR20110085909A KR1020110004982A KR20110004982A KR20110085909A KR 20110085909 A KR20110085909 A KR 20110085909A KR 1020110004982 A KR1020110004982 A KR 1020110004982A KR 20110004982 A KR20110004982 A KR 20110004982A KR 20110085909 A KR20110085909 A KR 20110085909A
Authority
KR
South Korea
Prior art keywords
gas
film
processing
substrate
wafer
Prior art date
Application number
KR1020110004982A
Other languages
English (en)
Other versions
KR101671316B1 (ko
Inventor
와타루 시미즈
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110085909A publication Critical patent/KR20110085909A/ko
Application granted granted Critical
Publication of KR101671316B1 publication Critical patent/KR101671316B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

저유전율막인 SiCOH막과 Cu 배선과의 각각의 노출면에 탄소가 탈락한 데미지층 및 산화물이 각각 형성된 기판에 대하여 데미지층을 회복시키고 또한 산화물을 환원하는 것이다. SiCOH를 포함한 층간 절연막(4)과 Cu를 포함한 배선(2)의 각각의 노출면에 탄소가 탈락한 데미지층(15) 및 산불화층(16)이 각각 형성된 웨이퍼(W)에 대하여, H2 가스의 공급과 실리콘 및 탄소를 포함한 TMSDMA 가스의 공급을 동일한 처리 용기(51)에서 이 순서로 연속하여 행함으로써, 산불화층(16)의 환원 처리 및 데미지층(15)의 회복 처리를 행한다.

Description

기판 처리 방법 및 기억 매체{SUBSTRATE PROCESSING METHOD AND STORAGE MEDIUM}
본 발명은, 기판 상의 탄소 및 산소를 포함한 저유전율막의 노출면에 형성된 데미지층의 회복 처리를 행하는 기판 처리 방법 및 이 방법이 기억된 기억 매체에 관한 것이다.
반도체 장치의 다층 배선 구조를 형성하는 공정에 있어서, 반도체 웨이퍼 상의 예를 들면 SiOCH(실리콘, 산소, 탄소 및 수소를 포함한 화합물) 등으로 이루어지는 층간 절연막을 개재하여 상하로 적층되는 예를 들면 Cu 등의 배선층끼리를 접속하는 도전층을 형성하기 위하여, 예를 들면 유기물을 포함한 포토레지스트막 등을 이용하여 당해 층간 절연막에 대하여 홈 또는 비아홀로 이루어지는 다마신 구조의 오목부를 형성하는 플라즈마 에칭 처리를 행하는 경우가 있다. 또한, SiCOH막은 SiO2막보다 유전율이 낮기 때문에 저유전율막으로 불리고 있다.
이 층간 절연막과 하층측의 배선층과의 사이에는, 웨이퍼의 면 내에서 층간 절연막에 균일한 깊이의 오목부를 형성하기 위하여, 또한 Cu 표면에의 데미지를 억제하기 위하여, 예를 들면 SiCN(탄질화 실리콘)막 등의 스톱막이 형성된다. 그리고, 층간 절연막에 오목부를 형성한 후, 하층측의 Cu(배선층)를 노출시키기 위하여, 특허 문헌 1에 기재되어 있는 바와 같이 예를 들면 F(불소)를 포함한 처리 가스를 이용하여 상기 스톱막에 대하여 브레이크 등으로 불리는 플라즈마 에칭 처리가 행해진다. 또한, O(산소)를 포함한 처리 가스의 플라즈마 처리가 행해지는 경우도 있다. 그 후, 예를 들면 약액을 이용한 세정 공정을 거쳐 층간 절연막에 형성된 오목부 내에 도전층이 매립된다.
그런데, 기술한 플라즈마 처리에 의해 플라즈마에 접촉한 부위에서의 층간 절연막에는, 특허 문헌 2에 기재되어 있는 바와 같이 예를 들면 C(탄소)의 이탈에 의해 댕글링 본드가 남은 데미지층이 형성된다. 이 댕글링 본드에는, 그 후 진공 분위기 혹은 대기 분위기에서 웨이퍼를 반송함으로써 분위기 중에 약간 포함되는 수분이 부착되어, Si에 예를 들면 OH기 등이 결합하여 층간 절연막의 비유전율이 상승되는 경우가 있다. 그래서, 층간 절연막에 오목부를 형성한 후, 예를 들면 플라즈마 처리를 행하는 챔버와는 다른 열처리 장치에서, 웨이퍼를 가열하면서 예를 들면 Si와 C(탄소)를 포함한 유기 가스를 웨이퍼로 공급하고, 상기 OH기 등을 예를 들면 메틸기로 치환하는 회복 처리를 행하는 수법이 알려져 있다.
그러나, 스톱막의 에칭 처리에 의해 노출된 Cu에는 당해 에칭 처리에 이용한 처리 가스 중의 예를 들면 O 또는 F가 혼입되는 경우가 있고, 또한 F를 포함한 퇴적물 및 기술한 수분이 표면에 부착되는 경우도 있다. 따라서, 이 오목부에 도전층을 매립하면, 도전층의 일부가 산화 혹은 불화하여 산화구리 또는 불화구리가 되고, 이 때문에 도전층의 저항치가 증대하여 반도체 장치의 전기 특성이 악화된다. 또한, 이 산화구리 또는 불화구리가 형성된 웨이퍼에 대하여 약액을 이용한 세정을 행하면, 이들 산화구리 또는 불화구리가 제거되어 배선층이 얇아진다. 또한, 불화구리 또는 F를 포함한 퇴적물과 분위기 중 혹은 약액 중의 수분과의 반응에 의해 HF(불화수소)가 생성되고, 구리 배선이 용출하여 도전로의 단선을 일으킨다. 또한, 수분이 부착된 웨이퍼에 대하여 기술한 회복 처리를 행하면, 회복 처리용 가스와 당해 수분이 반응하여 예를 들면 실라놀 등의 소수성의 생성물이 생성될 우려가 있다. 이 실라놀은 세정 처리에 의한 제거가 곤란하며, 그 때문에 전기 저항의 증대로 연결된다. 따라서, 층간 절연막의 데미지 부분이 적고 또한 배선층 중의 산화물이 적은 반도체 장치를 얻으려고 하면, 번잡한 처리가 필요하게 되어 스루풋이 저하될 우려가 있다. 특허 문헌 3 및 4에는 상기 배선층의 환원을 행하는 기술 등이 기재되어 있는데, 기술한 과제에 대해서는 기재되어 있지 않다.
일본특허공개공보 2007-250861 일본특허공개공보 2007-80850(단락 0008) 일본특허공개공보 2006-19601 일본특허공개공보 2009-164471
본 발명은 이러한 사정을 감안하여 이루어진 것으로, 그 목적은 탄소 및 산소를 포함한 저유전율막의 데미지 부분이 적고, 금속 배선 중의 산화물이 적은 반도체 장치를 높은 스루풋으로 얻기 위한 기판 처리 방법 및 이 방법이 기억된 기억 매체를 제공하는 것에 있다.
본 발명의 기판 처리 방법은, 반도체 장치를 제조하기 위한 기판을 처리하는 방법에 있어서, 탄소 및 산소를 포함한 저유전율막과 표면부가 산화된 금속층이 노출되고, 저유전율막의 노출면을 포함한 부위에 탄소가 탈락한 데미지층이 형성된 기판을 수용한 처리 용기 내로 환원 가스를 공급하여 상기 금속층의 산화물을 환원하는 공정과, 탄소를 포함한 회복 처리용의 가스를 상기 기판으로 공급하여 상기 데미지층의 회복 처리를 행하는 공정을 포함하고, 상기 환원하는 공정 및 상기 회복 처리를 행하는 공정을 공통의 처리 용기 내에서 연속적으로 행하는 것을 특징으로 한다.
상기 기판은, 개구부가 형성된 상기 저유전율막과, 실리콘을 포함한 스톱막과, 상기 금속층이 이 순서로 상층측으로부터 적층되고, 상기 환원하는 공정 및 상기 회복 처리를 행하는 공정 전에, 상기 개구부를 개재하여 산소 및 불소를 포함한 처리 가스의 플라즈마를 상기 스톱막으로 공급하여 상기 스톱막을 에칭하여 상기 금속층을 노출시키는 에칭 공정을 행하고, 이 에칭 공정은, 상기 환원 처리 및 회복 처리를 행하는 처리 용기와는 다른 처리 용기 내에서 행하는 것이 바람직하다. 상기 회복 처리용의 가스 및 상기 저유전율막에 실리콘이 포함되어 있는 경우에는, 상기 환원하는 공정은 회복 처리를 행하기 전에 행하는 것이 바람직하다.
본 발명의 기억 매체는, 탄소 및 산소를 포함한 저유전율막과 표면부가 산화된 금속층이 노출되고, 저유전율막의 노출면을 포함한 부위에 탄소가 탈락한 데미지층이 형성된 기판에 대하여 처리를 행하는 기판 처리 장치에 이용되는 컴퓨터 프로그램을 저장한 기억 매체에 있어서, 상기 컴퓨터 프로그램은 상기 기판 처리 방법을 실시하도록 단계가 짜여져 있는 것을 특징으로 한다.
본 발명은, 탄소 및 산소를 포함한 저유전율막과 표면부가 산화된 금속층이 노출되고, 저유전율막의 노출면을 포함한 부위에 탄소가 탈락한 데미지층이 형성된 기판에 대하여, 환원 가스의 공급과 탄소를 포함한 회복 처리용의 가스의 공급을 공통의 처리 용기 내에서 행하고 있으므로, 저유전율막의 데미지 부분이 적고 금속 배선 중의 산화물이 적은 반도체 장치를 높은 스루풋으로 얻을 수 있다. 또한, 회복 처리용의 가스 및 상기 저유전율막에 실리콘이 포함되어 있는 경우에는, 환원 처리 및 회복 처리를 이 순서로 연속하여 행하고 있기 때문에, 회복 처리용의 가스 중에 포함되는 실리콘과 분위기 중의 수분과의 반응에 따른 소수성의 실라놀의 생성을 억제할 수 있고, 따라서 반도체 장치의 전기 저항의 증대를 억제할 수 있다.
도 1은 본 발명의 기판 처리 방법이 적용되는 기판의 일례를 도시한 종단면도이다.
도 2a 내지 2f는 상기 기판 처리 방법에서의 처리의 흐름을 도시한 모식도이다.
도 3은 상기 기판 처리 방법에서의 처리의 흐름을 도시한 모식도이다.
도 4는 상기 기판 처리 방법에서의 처리의 흐름을 도시한 모식도이다.
도 5a 및 5b는 상기 기판 처리 방법에서의 처리의 흐름을 도시한 모식도이다.
도 6은 상기 기판 처리 방법에 이용되는 장치의 일례를 도시한 종단면도이다.
도 7은 상기 기판 처리 방법에 이용되는 장치의 일례를 도시한 종단면도이다.
도 8은 상기 기판 처리 방법에 이용되는 장치의 일례를 도시한 평면도이다.
도 9는 상기 기판 처리 방법이 적용되는 기판의 다른 예를 도시한 종단면도이다.
[웨이퍼의 구성]
본 발명의 실시예의 기판 처리 방법을 설명하기 전에, 이 기판 처리 방법에 의해 처리가 행해지는, 반도체 장치를 제조하기 위한 기판인 반도체 웨이퍼(이하 ‘웨이퍼’라고 함)(W)의 일례에 대하여 도 1을 참조하여 설명한다. 이 도 1은, 웨이퍼(W) 상에 형성된 하층측(n 번째)의 회로층에 상층측((n+1)번째)의 회로층을 적층하는 상태를 나타내고 있고, 웨이퍼(W)의 단면의 일부를 확대하고 있다. 이 하층측의 회로층은, 예를 들면 실리콘, 탄소, 산소 및 수소를 포함한 SiCOH로 이루어지는 층간 절연막(1) 내에, 예를 들면 Cu(구리) 등의 금속인 배선(2)이 옆으로 나란히 예를 들면 2 개소에 서로 이간하여 매립된 구성으로 되어 있다. 이 배선(2)(층간 절연막(1))의 상층측에는, 후술하는 홀(21)의 깊이 치수를 웨이퍼(W)의 면내에 걸쳐 균일한 깊이로 형성하기 위하여, 또한 당해 홀(21) 내에 매립되는 유기막(7)에 의한 배선(2)의 부식을 억제하기 위하여, 예를 들면 SiCN(탄질화 실리콘) 등의 Si를 포함한 스톱막(3)이 형성되어 있다. 또한 배선(2)은, 당해 배선(2)의 하층측((n-1)번째)의 회로층에 매립된 배선(도시하지 않음)에 접속되어 있는데, 도시를 생략하고 있다. 또한, SiCOH막(1)과 배선(2)의 사이에는, 금속의 확산을 억제하기 위한 배리어막이 형성되어 있는데, 도시를 생략하고 있다.
스톱막(3)의 상층에는 SiCOH로 이루어지는 저유전율막인 층간 절연막(4), 예를 들면 산화 실리콘으로 이루어지는 무기막(5) 및 유기물인 포토레지스트막(6)이 하측으로부터 이 순서로 적층되어 있고, 포토레지스트막(6)에는 배선(2)의 상방측에서의 층간 절연막(4)에 후술하는 홀(21)을 형성하기 위한 개구부(6a)가 형성되어 있다.
[처리의 흐름]
이어서, 상기 웨이퍼(W)에 대하여 행하는 처리의 흐름에 대하여 도 2a 내지 2f ~도 5a 및 5b를 참조하여 설명한다. 우선, 플라즈마 처리를 행하는 처리 용기 내에서, 예를 들면 CF4 가스, O2(산소) 가스 및 Ar(아르곤) 가스를 포함한 처리 가스를 플라즈마화하여 이 플라즈마를 웨이퍼(W)로 공급한다. 이 플라즈마에 의해 도 2a에 도시한 바와 같이, 포토레지스트막(6)을 마스크로서 층간 절연막(4) 및 무기막(5)이 에칭되어 홀(개구부)(21)이 형성된다. 이 에칭은 스톱막(3)에 대한 층간 절연막(4)의 에칭비가 큰(스톱막(3)의 에칭 속도보다 층간 절연막(4)의 에칭 속도가 빠름) 조건으로 행해진다. 따라서, 홀(21)의 깊이 위치는 웨이퍼(W)의 면내에서 스톱막(3)의 상단 위치에서 일치하게 된다.
이어서, 예를 들면 O2 가스를 플라즈마화하여 애싱 처리를 행함으로써 포토레지스트막(6)을 제거한다. 이어서, 홀(21)의 내부 영역 및 웨이퍼(W)의 표면이 덮이도록 예를 들면 유기막(7)을 도포하여 경화시키고, 도 2b에 도시한 바와 같이 이 유기막(7)의 표면에 예를 들면 산화 실리콘막(8), 반사 방지막(9) 및 포토레지스트막(10)을 하측으로부터 이 순서로 적층한다.
그리고, 도 2c에 도시한 바와 같이, 예를 들면 2 개의 홀(21, 21)의 상방 위치를 걸치도록 홈 형상의 개구부가 위치하는 패턴(24)을 포토레지스트막(10)에 형성하고 또한, 예를 들면 F를 포함한 처리 가스의 플라즈마를 이용하여 패턴(24)을 개재하여 산화 실리콘막(8)을 에칭하여 포토레지스트막(10)(반사 방지막(9))의 하층측의 유기막(7)을 노출시킨다. 또한 이 반사 방지막(9)에 대해서는, 예를 들면 막두께가 얇기 때문에 산화 실리콘막(8)과 함께 에칭된다.
이어서, 예를 들면 O를 포함한 처리 가스로 전환하여 플라즈마 에칭 처리를 행하면, 웨이퍼(W)의 표면의 포토레지스트막(10)이 제거되어 기술한 패턴(24)이 전사된 산화 실리콘막(8)이 노출된다. 또한 이 에칭 처리에 의해, 산화 실리콘막(8)의 하층측에서 노출된 유기막(7)은, 당해 산화 실리콘막(8)을 마스크로서 에칭된다. 이 유기막(7)의 표면의 높이 위치가 예를 들면 홀(21)의 깊이 방향에서 개략 중앙 위치가 될 때까지 에칭 처리를 행하고, 이어서 F를 포함한 처리 가스에 의해 플라즈마 에칭 처리를 행하면, 표면에 노출되어 있는 산화 실리콘막(8), 무기막(5) 및 층간 절연막(4)이 제거된다. 그리고 도 2d에 도시한 바와 같이, 2 개의 홀(21, 21)의 상방측에 라인 형상의 홈(22)이 형성되도록, 홀(21) 내에서 유기막(7)의 높이 위치와 층간 절연막(4)의 높이 위치가 일치하도록 당해 층간 절연막(4)의 에칭 처리를 행한다. 또한, 상기 산화 실리콘막(8), 무기막(5) 및 층간 절연막(4)과 함께 반사 방지막(9)도 에칭되어 제거된다.
이어서 도 2e에 도시한 바와 같이, O를 포함한 처리 가스의 플라즈마를 이용하여 애싱 처리를 행하여 웨이퍼(W)의 표면 및 홀(21) 내의 유기막(7)을 제거한다. 이 애싱 처리에 의해 층간 절연막(4)에는 홀(21)과 홈(22)으로 이루어지는 오목부(23)가 형성된다. 이 때, O를 포함한 플라즈마에 층간 절연막(4)이 접촉하면, 당해 층간 절연막(4)의 표면(노출면)에는 C가 이탈한 데미지층(15)이 형성된다. 또한, 기술한 도 2d에서 홈(22)을 형성하는 공정에서도, 플라즈마에 접촉한 층간 절연막(4)에는 마찬가지로 데미지층(15)이 형성되어 있는데, 설명을 생략하고 있다.
그 후, F 및 O를 포함한 처리 가스의 플라즈마를 이용하여 오목부(23)(홀(21))의 하단 위치가 배선(2)의 표면에 근접할 때까지 스톱막(3)의 에칭 처리를 행한다. 그리고 도 2f에 도시한 바와 같이, 예를 들면 CF4 가스, CHF3 가스, CH2F2 가스 및 C4F8 가스 중 적어도 1 종과, O2(산소) 가스 및 CO2(이산화탄소) 가스 중 적어도 1 종과, Ar(아르곤) 가스를 포함한 처리 가스로 전환하고 또한, 배선(2)에 대한 F의 진입(확산)이 가능한 한 적고, 또한 F를 포함한 퇴적물이 가능한 한 생성되지 않는 처리 조건에서 상기 처리 가스를 플라즈마화하여 스톱막(3)의 오버 에칭을 행한다. 즉, 배선(2)에 대한 스톱막(3)의 에칭비가 큰(스톱막(3)의 에칭 속도가 빠르고, 배선(2)의 에칭 속도가 늦음) 에칭 조건에서, 웨이퍼(W)의 면내에서 홀(21)의 하단 위치가 배선(2)의 상단에서 일치하도록 스톱막(3)을 에칭한다.
이 때, 처리 가스에는 F가 포함되어 있으므로, 예를 들면 오목부(23) 내에 F를 포함한 퇴적물이 부착하려고 하는데, 기술한 바와 같이 처리 조건을 설정하고 있으므로, 웨이퍼(W)의 표면(오목부(23) 내)에는 F를 포함한 퇴적물이 퇴적하지 않거나, 혹은 퇴적물의 양이 극히 적어진다. 또한, 처리 가스에는 O와 F가 포함되어 있으므로, 스톱막(3)의 에칭에 의해 배선(2)이 노출되면, 이들 O 또는 F가 배선(2)의 표면에 혼입하여 당해 배선(2)의 표면에는 도 3에도 도시한 바와 같이 산화구리 또는 불화구리를 포함한 산불화층(16)이 형성된다. 이 산불화층(16)은, 기술한 바와 같이 웨이퍼(W) 내부에 불소가 가능한 한 남지 않도록 처리 조건을 조정함으로써, 불소의 함유량이 극히 적어진다. 또한 처리 가스에는 F가 포함되어 있으므로, 층간 절연막(4)의 상층의 Si를 포함한 무기막(5)에 대해서도 에칭되어 얇아진다. 또한, 기술한 도 3에 대해서는, 하나의 홀(21)을 확대하여 묘화하고 있다.
이어서, 이 웨이퍼(W)를 예를 들면 회복 처리를 행하기 위한 처리 용기에 예를 들면 진공 분위기에서 반송하면, 기술한 도 3에 도시한 바와 같이, 당해 분위기 중의 수분이 배선(2)(산불화층(16))의 표면에 부착되고 또한, 분위기 중의 수분이 데미지층(15) 중의 Si와 반응하여 예를 들면 Si-OH가 생성된다. 이 처리 용기에서, H2(수소) 가스를 공급하면서 웨이퍼(W)를 예를 들면 250℃로 가열하는 환원 처리를 행하면, 도 4에 도시한 바와 같이 배선(2)(산불화층(16))의 표면에 부착되어 있던 수분이 증발하고 또한, 산불화층(16)이 환원되어 O 또는 F가 배출된다.
그리고, 기술한 환원 처리를 행한 처리 용기에서, 당해 환원 처리에 이어 연속하여 웨이퍼(W)(층간 절연막(4))의 회복 처리를 행한다. 즉, 웨이퍼(W)를 예를 들면 150~300℃로 가열하면서 Si와 CH3기를 포함한 회복 처리용 가스 예를 들면 TMSDMA(N-Trimethylsilyldimethylamine) 가스를 공급하면, 도 5a, b에 도시한 바와 같이, 데미지층(15) 중의 예를 들면 OH기가 회복 처리용 가스에 포함되는 CH3기를 포함한 유기물에 의해 치환되어 데미지층(15)의 회복 처리가 행해진다. 그 후, 일련의 처리를 행한 기판 처리 장치로부터 웨이퍼(W)를 외부로 반출하여, 약액을 이용한 세정을 행한 후, 예를 들면 스퍼터링법에 의해 오목부(23)에 금속의 확산을 방지하기 위한 배리어막을 개재하여 금속막을 매립한다.
[장치 구성]
이어서, 기술한 처리를 행하기 위한 기판 처리 장치의 일례에 대하여 도 6 ~ 도 8을 참조하여 설명한다. 우선, 에칭 처리 또는 애싱 처리 등의 플라즈마 처리를 행하는 평행 평판형의 플라즈마 처리 장치(70)에 대하여 설명한다. 이 플라즈마 처리 장치(70)는, 플라즈마 처리를 행하기 위한 처리 용기(71)와, 이 처리 용기(71) 내에 설치되고 웨이퍼(W)를 재치하기 위한 재치대(30)와, 이 재치대(30) 상의 웨이퍼(W)에 대향하도록 처리 용기(71)의 천장면에 설치된 가스 샤워 헤드를 겸용하는 상부 전극(40)을 구비하고 있다. 처리 용기(71)의 저면의 배기구(72)로부터 연장되는 배기관(73)에는, 버터플라이 밸브 등의 압력 조정 수단(74)을 개재하여 진공 펌프 등을 포함한 진공 배기 장치(75)가 접속되어 있다. 처리 용기(71)의 벽면에는 게이트 밸브(G)에 의해 개폐되는 웨이퍼(W)의 반송구(76)가 형성되어 있다. 또한, 처리 용기(71)는 접지되어 있다.
재치대(30)는 하부 전극(31)과 이 하부 전극(31)을 하방으로부터 지지하는 지지체(32)로 이루어지고, 처리 용기(71)의 저면(底面)에 절연 부재(33)를 개재하여 배설되어 있다. 재치대(30)의 상부에는 고압 직류 전원(35)으로부터 인가되는 전압에 의해 웨이퍼(W)를 재치대(30) 상에 정전 흡착하기 위한 정전 척(34)이 설치되어 있다. 도 6 중 37은 재치대(30) 상의 웨이퍼(W)의 온도를 조정하는 온도 조절 매체가 통과하는 온도 조절 유로이며, 38은 재치대(30) 상의 웨이퍼(W)에 대하여 하면측으로부터 He(헬륨) 가스 등의 열전도성 가스를 백 사이드 가스로서 공급하는 가스 유로이다. 이들 온도 조절 유로(37) 및 가스 유로(38)에 의해 플라즈마 처리가 행해지고 있을 때의 웨이퍼(W)는, 예를 들면 20℃ 정도로 조정된다.
상기 하부 전극(31)은 하이 패스 필터(HPF)(30a)를 개재하여 접지되어 있고, 웨이퍼(W)에 바이어스 전력을 인가함으로써 플라즈마 중의 이온을 웨이퍼(W) 표면으로 인입하기 위하여, 예를 들면 주파수가 2 MHz의 고주파 전원(31a)이 정합기(31b)를 개재하여 접속되어 있다. 하부 전극(31)의 외주연부에는 플라즈마를 재치대(30) 상의 웨이퍼(W)에 수속시키기 위하여, 정전 척(34)을 둘러싸도록 포커스 링(39)이 배치되어 있다.
상부 전극(40)은 중공 형상으로 형성되어 있고, 그 하면에는 처리 용기(71) 내로 처리 가스를 분산 공급하기 위한 다수의 가스 토출홀(41)이 복수 개소에 배치되어 있다. 또한, 상부 전극(40)의 상면 중앙에는 가스 공급로인 가스 도입관(42)이 형성되고, 이 가스 도입관(42)은 상류측에서 5 개로 분기하여 밸브(43)와 유량 제어부(44)를 개재하여 가스 공급원(45A ~ 45E)에 각각 접속되어 있다. 가스 공급원(45A ~ 45E)은 각각 예를 들면 CF4 가스원, CHF3 가스원, CO2 가스원, O2 가스원 및 Ar가스원이다. 도 6 중 77은, 가스 도입관(42)과 처리 용기(71)의 사이에 형성된 절연부재이다. 상부 전극(40)은, 로우패스 필터(LPF)(47)를 개재하여 접지되어 있고, 처리 가스를 플라즈마화하기 위한 예를 들면 60 MHz의 고주파 전원(40a)이 정합기(40b)를 개재하여 접속되어 있다.
이어서, 도 7을 참조하여 환원 처리 및 회복 처리를 행하는 열처리 장치(50)에 대하여 설명한다. 이 열처리 장치(50)는, 처리 용기(51)와 당해 처리 용기(51) 내에 설치되고 웨이퍼(W)를 재치하기 위한 재치대(52)를 구비하고 있다. 재치대(52)에는 가열 수단인 히터(52a)가 설치되어 있고, 이 히터(52a)는 전원(52b)으로부터 공급되는 전력에 의해 웨이퍼(W)를 예를 들면 50℃ ~ 200℃로 가열할 수 있도록 구성되어 있다. 이 재치대(52)에는 도시하지 않은 승강 핀 등이 설치되어 있고, 이 승강 핀에 의해 처리 용기(51)의 측벽의 반송구(53)를 거쳐 재치대(52)와 도시하지 않은 반송 수단과의 사이에서 웨이퍼(W)의 전달을 행하도록 구성되어 있다. 또한, G는 게이트 밸브이다.
또한 처리 용기(51)의 하면에는, 재치대(52)를 둘러싸도록 둘레 방향으로 등간격으로 예를 들면 4 개소에 가스 공급로(54)의 일단측이 개구되어 있고, 또한 가스 공급로(54)의 타단측에는 기화기(55)가 접속되어 있다. 이 기화기(55)의 상류 측에는 각각 유량 조정부(56a, 57a)를 개재하여 기술한 TMSDMA원(56) 및 질소 가스원(57)이 접속되어 있고, 액체 형상의 TMSDMA를 기화기(55)에서 기화시켜 질소 가스를 캐리어 가스로서 회복 처리용의 유기 가스인 TMSDMA 가스를 처리 용기(51) 내로 공급하도록 구성되어 있다. 또한 가스 공급로(54)에는, 밸브(V) 및 유량 조정부(58a)를 개재하여 환원 처리용의 가스 예를 들면 H2(수소) 가스가 저장된 가스원(58)이 접속되어 있다. 처리 용기(51)의 천벽에는 재치대(52) 상의 웨이퍼(W)에 대향하도록, 도시하지 않은 압력 조정부를 구비한 진공 펌프(60)가 배기로(59)를 거쳐 접속되어 있다.
플라즈마 처리 장치(70) 및 열처리 장치(50)는, 도 8에 도시한 바와 같이 멀티 챔버 시스템인 기판 처리 장치로서 구성되어 있다. 이 기판 처리 장치는, 예를 들면 복수의 웨이퍼(W)가 수납된 FOUP가 재치되는 재치대(61), 대기 분위기의 제 1 반송실(62), 로드록실(63) 및 진공 분위기의 제 2 반송실(64)을 구비하고 있다. 제 2 반송실(64)에는 플라즈마 처리 장치(70) 및 열처리 장치(50)가 예를 들면 각각 3 개소에 기밀하게 접속되어 있다.
제 1 반송실(62)에는, 재치대(61) 상의 FOUP와 로드록실(63)의 사이에서 웨이퍼(W)의 전달을 행하기 위한 제 1 반송 수단인 반송 암(65)이 설치되고, 제 2 반송실(64)에는, 로드록실(63)과 플라즈마 처리 장치(70) 및 열처리 장치(50)와의 사이에 웨이퍼(W)의 전달을 행하기 위한 제 2 반송 수단인 반송 암(66)이 설치되어 있다.
이 기판 처리 장치에는, 예를 들면 컴퓨터로 이루어지는 제어부(67)가 설치되어 있고, 이 제어부(67)는 프로그램, 메모리, CPU로 이루어지는 데이터 처리부 등을 구비하고 있다. 상기 프로그램에는, 제어부(67)로부터 기판 처리 장치의 각 부로 제어 신호를 보내고, 후술하는 각 단계를 진행시키도록 명령이 입력되어 있다. 또한, 메모리에는 예를 들면 처리 압력, 처리 온도, 처리 시간, 가스 유량 또는 전력치 등의 처리 파라미터의 값이 기입되는 영역이 설치되어 있다. 이 프로그램(처리 파라미터의 입력 조작 또는 표시에 관한 프로그램도 포함함)은, 컴퓨터 기억 매체 예를 들면 플렉서블 디스크, 콤팩트 디스크, 하드 디스크, MO(광자기 디스크) 등의 기억부(68)에 저장되어 제어부(67)에 인스톨된다.
이어서, 상기 기판 처리 장치에서의 웨이퍼(W)의 처리의 흐름에 대하여 간단하게 설명한다. 우선, 웨이퍼(W)를 수납한 FOUP를 재치대(61)에 재치하여 반송 암(65)에 의해 FOUP로부터 웨이퍼(W)를 취출하여 로드록실(63)로 반송한다. 이어서, 반송 암(66)에 의해 플라즈마 처리 장치(70)의 재치대(30) 상에 웨이퍼(W)를 재치하여 게이트 밸브(G)를 기밀하게 닫는다. 그리고, 진공 배기 장치(75)에 의해 처리 용기(71) 내를 진공 배기하여 처리 용기(71) 내를 소정의 진공도로 유지하고 또한, 가스 도입관(42)으로부터 처리 가스를 공급한다. 이어서, 소정 전력의 고주파를 상부 전극(40)으로 공급하여 처리 가스를 플라즈마화하고 또한, 바이어스용의 고주파를 소정 전력으로 하부 전극(31)으로 공급하여 플라즈마 중의 이온을 웨이퍼(W)측으로 인입한다. 이 처리 가스의 플라즈마가 웨이퍼(W)로 공급되면, 기술하는 에칭 처리가 행해진다.
이어서, 고주파 및 처리 가스의 공급을 정지하여 처리 용기(71) 내를 진공 배기한다. 그리고, 처리 가스를 전환하여 기술한 애싱 처리를 행하고, 반송 암(66)에 의해 웨이퍼(W)를 처리 용기(71) 내로부터 취출하여 기술한 포토레지스트막(10) 등의 성막 처리를 행한다. 그 후, 웨이퍼(W)를 기판 처리 장치 내로 되돌려 계속되는 일련의 플라즈마 처리를 행한 후, 웨이퍼(W)를 열처리 장치(50)의 처리 용기(51) 내로 반입한다. 또한 재치대(52) 상에서, 웨이퍼(W)를 소정의 설정 온도 예를 들면 250℃로 가열하고 또한, 처리 용기(51) 내를 소정의 압력으로 유지하면서, 환원 가스(H2 가스)를 웨이퍼(W)로 공급하여 환원 처리를 행한다.
그 후 환원 가스의 공급을 정지하고 처리 용기(51) 내를 진공 배기하고 또한, 처리 가스를 회복 처리용 가스로 전환하여 소정의 압력에서 당해 회복 처리용 가스를 예를 들면 250℃로 가열한 웨이퍼(W)로 공급한다. 이리 하여 웨이퍼(W)에 대하여 환원 처리와 회복 처리가 공통된 처리 용기(51)에서 연속하여 행해진다. 웨이퍼(W)에 대하여 일련의 처리가 종료되면, 혹은 웨이퍼(W) 상에 포토레지스트막(10) 등을 성막하기 위하여 외부로 반출할 때에는, 반송 암(66, 65)을 개재하여 웨이퍼(W)를 원래의 FOUP로 반송한다.
상술한 실시예에 따르면, 층간 절연막(4)과 배선(2)의 각각의 노출면에 탄소가 탈락한 데미지층(15) 및 산불화층(16)이 각각 형성된 웨이퍼(W)에 대하여, 환원 가스의 공급과 탄소를 포함한 회복 처리용의 가스의 공급을 공통의 처리 용기(51) 내에서 행하고 있으므로, 기술한 바와 같이 처리 온도(플라즈마 공급 시의 온도)가 낮은 플라즈마 처리용의 처리 용기(71) 내에서 이들 환원 처리 및 회복 처리를 행하는 경우에 비해, 웨이퍼(W)의 승온(온도 조정)에 필요로 하는 시간이 짧아도 되고, 또한 예를 들면 환원 처리 및 회복 처리를 각각 다른 처리 용기 내에서 행하는 경우보다, 웨이퍼(W)의 반송에 필요로 하는 시간을 단축할 수 있다. 그 때문에, 층간 절연막(4)의 데미지 부분이 적고 배선(2) 중의 산화물이 적은 반도체 장치를 높은 스루풋으로 얻을 수 있다. 또한, 환원 처리 및 회복 처리를 공통의 처리 용기(51)에서 연속하여 행함으로써, 예를 들면 웨이퍼(W)의 반송 시에서의 분위기 중의 수분의 영향을 억제할 수 있고, 또한 당해 처리 용기(51)의 유효 이용을 도모할 수 있다. 따라서, 층간 절연막(4)의 비유전율의 상승 및 배선(2)의 전기 저항의 증대를 억제한 반도체 장치를 신속하게 얻을 수 있다.
또한, 회복 처리에 Si를 포함한 처리 가스를 이용한 경우에는, 당해 Si와 웨이퍼(W)의 표면에 부착된 수분이 반응하여 세정 처리로도 제거가 곤란한 소수성의 실라놀을 생성할 우려가 있지만, 회복 처리 전에 환원 처리를 행함으로써 이 실라놀의 생성에 따른 전기 저항의 증대를 억제할 수 있다.
또한, 예를 들면 제 2 반송실(64) 내에서 웨이퍼(W)를 반송함으로써, 배선(2) 상에 분위기 중의 수분이 부착되어 있는 경우에도 환원 처리를 행할 때에는 웨이퍼(W)를 가열하고 있으므로, 당해 수분을 제거할 수 있다. 그 때문에, 수분과 예를 들면 산불화층(16) 혹은 퇴적물 중의 F와의 반응에 따른 불화수소(HF)의 생성을 억제할 수 있으므로, 이 불화수소에의 배선(2) 혹은 오목부(23) 내에 매립되는 금속층의 용출에 따른 도전로의 단선을 억제할 수 있다. 또한, 산불화층(16)을 환원하고 있으므로, 회복 처리 후에 약액을 이용한 세정 처리를 행한 경우에도 당해 산불화층(16)(산화구리)의 용출을 억제할 수 있고, 그 때문에 배선(2)의 감소를 억제할 수 있다.
여기서 종래의 수법이라면, 스톱막(3)을 에칭할 때에는 층간 절연막(4) 및 배선(2)이 가능한 한 데미지(층간 절연막(4)으로부터의 탄소의 이탈 또는 배선(2)의 산화)를 주지 않도록, O(O2 가스 또는 CO2 가스)의 함유량이 적거나 혹은 거의 포함하지 않는 처리 가스 예를 들면 CF계 가스를 이용하고 있었다. 그 때문에, 이 종래의 수법에서는, 배선(2)의 표면에는 많은 F가 혼입되어 결합이 강한(환원되기 어려운) 불화물이 많이 생성되고 또한, 웨이퍼(W)의 표면에는 F를 포함한 퇴적물이 부착되어 있었다.
한편, 본 발명에서는 환원 처리를 행하는 것을 전제로 하고 있으므로, 불화물보다 환원되기 쉬운 산화물이 보다 많이 생성하는 조건으로 스톱막(3)의 에칭 처리를 행할 수 있다. 즉, Si를 포함한 스톱막(3)의 에칭에는 F를 포함한 처리 가스를 이용할 필요가 있으므로, 본 발명에서는 F의 사용량을 가능한 한 줄여 F와 함께 O에 의해 스톱막(3)을 에칭하도록 처리 조건을 조정하고 있다. 여기서, 종래에 비해 O를 증가시킴으로써 층간 절연막(4)에의 데미지는 증가하는 경향이 되는데, 이 데미지는 그 후 행하는 회복 처리에 의해 제거할 수 있다. 또한 배선(2)에 관해서는, 환원되기 쉬운 산화물을 많이 포함한 산불화층(16)을 생성시킬 수 있으므로, 당해 산불화층(16)을 용이하게 환원할 수 있다. 또한, 이와 같이 처리 조건을 조정함으로써, 웨이퍼(W)의 표면에는 F를 포함한 퇴적물이 거의 생성되지 않기 때문에, 당해 퇴적물 중의 F와 수분의 반응에 따른 불화수소의 생성을 억제할 수 있고, 또한 퇴적물에 의한 도전로의 저항치의 증대를 억제할 수 있다. 또한, 기술한 바와 같이 환원 처리 및 회복 처리를 공통의 처리 용기(51) 내에서 행함으로써, 각각 전용의 처리 용기를 설치한 경우보다 장치의 풋프린트를 저감할 수 있다.
기술한 층간 절연막(4)으로서는, 예를 들면 SOD(Spin on Dielectric) 장치로 형성되는 MSQ(methyl-hydrogen-SilsesQuioxane)(다공질 또는 치밀질), CVD(Chemical Vapor Deposition)로 형성되는 무기 절연막 중 하나인 SiOC계 막(종래의 SiO2막의 Si-O 결합에 메틸기(-CH3)를 도입하여 Si-CH3 결합을 혼재시킨 것으로, Black Diamond(Applied Materials 사), Coral(Novellus 사), Aurora(ASM 사) 등이 이에 해당되고, 치밀질인 것 및 포러스(다공질)인 것이 양쪽 모두 존재함) 등을 적용해도 좋다. 또한, SiLK 등의 Si를 함유하지 않는 C와 O와 H를 가지는 것도 층간 절연막(4)으로서 사용할 수 있고, 마찬가지로 본 발명의 기술을 적용 가능하다. 또한 스톱막(3)으로서는, Si를 포함한 막 예를 들면 SiC막 또는 SiN막 등이어도 좋다. 또한 배선(2)을 구성하는 금속 재료로서는, Cu 이외에도 예를 들면 W(텅스텐) 등이어도 좋다.
또한, 회복 처리를 행하기 위하여 이용되는 처리 가스로서는, 기술한 TMSDMA 이외에도, 메틸기(-CH3)를 가지는 가스 예를 들면 DMSDMA(Dimethylsilyldimethylamine), TMDS(1, 1, 3, 3-Tetramethyldisilazane), TMSPyrole(1-Trimethylsilylpyrole), BSTFA(N, O-Bis(trimethylsilyl) trifluoroacetamide), BDMADMS(Bis(dimethylamino) dimethylsilane) 등의 실릴화제 등을 이용해도 좋고, 혹은 DPM(Dipivaloyl Methane) 또는 DMC(Dimethylcarbonate), 아세틸아세톤 등이어도 좋다. 따라서, Si를 포함하지 않는 처리 가스를 이용하여 회복 처리를 행하는 경우에는 회복 처리에 수반되는 실라놀이 발생하지 않기 때문에, 환원 처리 전에 회복 처리를 행해도 좋다. 또한, 환원 처리를 행하기 위하여 이용되는 환원 가스로서는, 수소 가스 대신에 혹은 수소 가스와 함께 CO(일산화탄소) 가스를 이용해도 좋다. 또한, 공통된 열처리 장치(50)를 이용하여 환원 처리 및 회복 처리를 행했지만, 각각 다른 열처리 장치(50)를 이용하여 처리를 행해도 좋다.
기술한 예에서는, 상하의 층간 절연막(1, 4) 간에 스톱막(3)이 개재되어 있는 웨이퍼(W)에 대하여 처리를 행하는 경우에 대하여 설명했지만, 도 9에 도시한 바와 같이, 이 스톱막(3)이 형성되어 있지 않은 웨이퍼(W)에 대하여 처리를 행할 경우에 본 발명을 적용해도 좋다. 이 경우에도, 상층측의 층간 절연막(4)에 홀(21)을 형성할 때에는 F와 O를 포함한 처리 가스가 이용되고, 층간 절연막(4) 및 배선(2)에는 각각 데미지층(15) 및 산불화층(16)이 생성된다.
W : 웨이퍼
1 : 층간 절연막
2 : 배선
3 : 스톱막
4 : 층간 절연막
15 : 데미지층
16 : 산불화막
21 : 홀

Claims (4)

  1. 반도체 장치를 제조하기 위한 기판을 처리하는 방법에 있어서,
    탄소 및 산소를 포함한 저유전율막과 표면부가 산화된 금속층이 노출되고, 저유전율막의 노출면을 포함한 부위에 탄소가 탈락한 데미지층이 형성된 기판을 수용한 처리 용기 내로 환원 가스를 공급하여 상기 금속층의 산화물을 환원하는 공정과,
    탄소를 포함한 회복 처리용의 가스를 상기 기판으로 공급하여 상기 데미지층의 회복 처리를 행하는 공정을 포함하고,
    상기 환원하는 공정 및 상기 회복 처리를 행하는 공정을 공통의 처리 용기 내에서 연속적으로 행하는 것을 특징으로 하는 기판 처리 방법.
  2. 제 1 항에 있어서,
    상기 기판은, 개구부가 형성된 상기 저유전율막과, 실리콘을 포함한 스톱막과, 상기 금속층이 이 순서로 상층측으로부터 적층되고,
    상기 환원하는 공정 및 상기 회복 처리를 행하는 공정 전에, 상기 개구부를 개재하여 산소 및 불소를 포함한 처리 가스의 플라즈마를 상기 스톱막으로 공급하여 상기 스톱막을 에칭하여 상기 금속층을 노출시키는 에칭 공정을 행하고,
    이 에칭 공정은, 상기 환원 처리 및 회복 처리를 행하는 처리 용기와는 다른 처리 용기 내에서 행하는 것을 특징으로 하는 기판 처리 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 회복 처리용의 가스 및 상기 저유전율막은 실리콘을 포함하고,
    상기 환원하는 공정은, 회복 처리를 행하기 전에 행하는 것을 특징으로 하는 기판 처리 방법.
  4. 탄소 및 산소를 포함한 저유전율막과 표면부가 산화된 금속층이 노출되고, 저유전율막의 노출면을 포함한 부위에 탄소가 탈락한 데미지층이 형성된 기판에 대하여 처리를 행하는 기판 처리 장치에 이용되는 컴퓨터 프로그램을 저장한 기억 매체에 있어서,
    상기 컴퓨터 프로그램은, 청구항 1 또는 청구항 2에 기재된 기판 처리 방법을 실시하도록 단계가 짜여져 있는 것을 특징으로 하는 기억 매체.
KR1020110004982A 2010-01-20 2011-01-18 기판 처리 방법 및 기억 매체 KR101671316B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2010-010278 2010-01-20
JP2010010278A JP5544893B2 (ja) 2010-01-20 2010-01-20 基板処理方法及び記憶媒体

Publications (2)

Publication Number Publication Date
KR20110085909A true KR20110085909A (ko) 2011-07-27
KR101671316B1 KR101671316B1 (ko) 2016-11-01

Family

ID=44277886

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110004982A KR101671316B1 (ko) 2010-01-20 2011-01-18 기판 처리 방법 및 기억 매체

Country Status (4)

Country Link
US (1) US8870164B2 (ko)
JP (1) JP5544893B2 (ko)
KR (1) KR101671316B1 (ko)
TW (1) TWI499001B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180000124U (ko) 2016-07-01 2018-01-10 전홍수 수도배관 동파 방지장치
KR20190100313A (ko) * 2017-03-31 2019-08-28 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 컴퓨터 프로그램

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103377989B (zh) * 2012-04-18 2015-08-05 中芯国际集成电路制造(上海)有限公司 大马士革结构的制作方法
CN105448749B (zh) * 2014-08-20 2018-03-30 中芯国际集成电路制造(上海)有限公司 引线焊垫结构的制造方法
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
JP7267015B2 (ja) * 2019-01-09 2023-05-01 東京エレクトロン株式会社 基板処理方法および基板処理装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091428A (ja) * 1998-09-11 2000-03-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2006019601A (ja) 2004-07-05 2006-01-19 Seiko Epson Corp 半導体装置の製造方法及び半導体装置の製造装置
JP2007080850A (ja) 2005-09-09 2007-03-29 Tokyo Electron Ltd プラズマアッシング方法
JP2007250861A (ja) 2006-03-16 2007-09-27 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP2009164471A (ja) 2008-01-09 2009-07-23 Panasonic Corp 高信頼性銅配線及びその製造方法
JP2009170547A (ja) * 2008-01-11 2009-07-30 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
JP2006303179A (ja) * 2005-04-20 2006-11-02 Fujitsu Ltd 埋込導電体の形成方法
US20070275560A1 (en) * 2006-02-22 2007-11-29 Eiichi Nishimura Method of manufacturing semiconductor device
JP4716370B2 (ja) * 2006-03-27 2011-07-06 東京エレクトロン株式会社 低誘電率膜のダメージ修復方法及び半導体製造装置
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
JP4999419B2 (ja) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
JP4800235B2 (ja) * 2007-02-14 2011-10-26 東京エレクトロン株式会社 処理方法
JP5135905B2 (ja) * 2007-06-19 2013-02-06 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4924245B2 (ja) * 2007-07-02 2012-04-25 東京エレクトロン株式会社 半導体製造装置、半導体装置の製造方法及び記憶媒体
JP5304033B2 (ja) * 2007-08-31 2013-10-02 富士通株式会社 半導体装置の製造方法
JP5425404B2 (ja) * 2008-01-18 2014-02-26 東京エレクトロン株式会社 アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091428A (ja) * 1998-09-11 2000-03-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2006019601A (ja) 2004-07-05 2006-01-19 Seiko Epson Corp 半導体装置の製造方法及び半導体装置の製造装置
JP2007080850A (ja) 2005-09-09 2007-03-29 Tokyo Electron Ltd プラズマアッシング方法
JP2007250861A (ja) 2006-03-16 2007-09-27 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP2009164471A (ja) 2008-01-09 2009-07-23 Panasonic Corp 高信頼性銅配線及びその製造方法
JP2009170547A (ja) * 2008-01-11 2009-07-30 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180000124U (ko) 2016-07-01 2018-01-10 전홍수 수도배관 동파 방지장치
KR20190100313A (ko) * 2017-03-31 2019-08-28 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 컴퓨터 프로그램
US11189483B2 (en) 2017-03-31 2021-11-30 Kokusai Electric Corporation Method of manufacturing semiconductor device and non-transitory computer-readable recording medium

Also Published As

Publication number Publication date
US20110177695A1 (en) 2011-07-21
TW201201321A (en) 2012-01-01
JP5544893B2 (ja) 2014-07-09
US8870164B2 (en) 2014-10-28
TWI499001B (zh) 2015-09-01
JP2011151141A (ja) 2011-08-04
KR101671316B1 (ko) 2016-11-01

Similar Documents

Publication Publication Date Title
US7462565B2 (en) Method of manufacturing semiconductor device
TWI774688B (zh) 蝕刻處理中保護超低介電材料不受損害以得到期望的特徵部之製造方法
US8492287B2 (en) Substrate processing method
US8383519B2 (en) Etching method and recording medium
US8202803B2 (en) Method to remove capping layer of insulation dielectric in interconnect structures
WO2006079979A2 (en) A method of manufacturing a semiconductor device
JP6921990B2 (ja) 超伝導体相互接続のための予洗浄および堆積の方法
US8187981B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
KR101671316B1 (ko) 기판 처리 방법 및 기억 매체
US9150969B2 (en) Method of etching metal layer
JP2008198659A (ja) プラズマエッチング方法
JP4924245B2 (ja) 半導体製造装置、半導体装置の製造方法及び記憶媒体
JP2009016446A (ja) 半導体装置の製造方法及び記憶媒体
JP7171914B2 (ja) 超伝導体配線製造のためのプレクリーンおよび誘電体堆積方法
KR100870806B1 (ko) 반도체 디바이스의 제조 방법
US6489238B1 (en) Method to reduce photoresist contamination from silicon carbide films
JP4698813B2 (ja) 半導体装置およびその製造方法
JP2004119539A (ja) レジストパターンの除去方法
CN101304002A (zh) 半导体元件的制造方法
TWI751326B (zh) 自對準通孔處理流程
KR20190015132A (ko) 피처리체를 처리하는 방법
US20240071817A1 (en) Adhesion improvement between low-k materials and cap layers
KR100816231B1 (ko) 반도체 장치 제조 방법
JP2000277611A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191016

Year of fee payment: 4