KR20110043652A - 리버스 톤 상의 형성을 위한 하드마스크 공정 - Google Patents

리버스 톤 상의 형성을 위한 하드마스크 공정 Download PDF

Info

Publication number
KR20110043652A
KR20110043652A KR1020117002890A KR20117002890A KR20110043652A KR 20110043652 A KR20110043652 A KR 20110043652A KR 1020117002890 A KR1020117002890 A KR 1020117002890A KR 20117002890 A KR20117002890 A KR 20117002890A KR 20110043652 A KR20110043652 A KR 20110043652A
Authority
KR
South Korea
Prior art keywords
photoresist
photoresist pattern
coating
polymer
forming
Prior art date
Application number
KR1020117002890A
Other languages
English (en)
Inventor
데이빗 제이 압달라
랄프 알 대멀
마크 나이서
Original Assignee
에이제트 일렉트로닉 머트리얼즈 유에스에이 코프.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프. filed Critical 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프.
Publication of KR20110043652A publication Critical patent/KR20110043652A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명은 a) 기판 상에 흡수 하지층을 형성시키는 단계; b) 하지층 상에 포지티브 포토레지스트의 코팅을 형성시키는 단계; c) 포토레지스트 패턴을 형성시키는 단계; d) 우선 포토레지스트 패턴을 경화 화합물로 처리하여 경화된 포토레지스트 패턴을 형성시키는 단계; e) 실리콘 코팅 조성물로부터 경화된 포토레지스트 패턴 상에 실리콘 코팅을 형성시키는 단계; f) 실리콘 코팅이 포토레지스트 패턴과 대략 동일한 두께를 가질 때까지, 실리콘 코팅을 건식 에칭하여 실리콘 코팅을 제거하는 단계; 및 g) 건식 에칭하여 포토레지스트 및 하지층을 제거하여, 포토레지스트 패턴의 원래 위치 아래에 트렌치를 형성시키는 단계를 포함하는, 소자 상에 리버스 톤을 형성시키는 방법에 관한 것이다. 본 발명은 또한 상기 방법에 의한 물품 및 상기 방법을 이용하여 제조된 마이크로 전자공학 소자에 관한 것이다.

Description

리버스 톤 상의 형성을 위한 하드마스크 공정{A HARDMASK PROCESS FOR FORMING A REVERSE TONE IMAGE}
본 발명은 리버스 톤(reverse tone) 하드마스크 이미지화 공정을 이용하는 기판 상의 미세 패턴의 형성 방법에 관한 것이다.
포토레지스트 조성물은 컴퓨터 칩 및 집적 회로의 제작과 같은 소형화 전자 공학 부품의 제조를 위한 마이크로리소그래피 공정에 사용된다. 일반적으로, 이 공정에서, 포토레지스트 조성물의 필름의 박형 코팅을 우선 집적 회로의 제조에 사용되는 실리콘 웨이퍼와 같은 기판 재료에 도포한다. 그 다음, 코팅된 기판을 소성하여 포토레지스트 조성물 중 임의의 용매를 증발시키고 기판 상에 코팅을 고정시킨다. 기판 상에 코팅된 포토레지스트를 그 다음 방사선에 이미지 형성 방식으로(imagewise) 노광시킨다.
방사선 노광으로 코팅 표면의 노광된 영역에서 화학 변형이 일어난다. 가시광선, 자외선(UV), 전자 빔, 극자외선(EUV) 및 X선 에너지는 마이크로리소그래피 공정에서 현재 통상적으로 사용되는 방사선의 유형이다. 이 이미지 형성 방식 노광 후, 코팅된 기판을 임의로 소성시킨 후, 현상액으로 처리하여 포토레지스트의 방사선 노광 영역(포지티브 포토레지스트) 또는 미노광 영역(네거티브 포토레지스트)을 용해시키고 제거한다.
포지티브 작용 포토레지스트는 방사선에 이미지 형성 방식으로 노광시 방사선에 노광된 포토레지스트 조성물의 영역이 현상액에 더욱 가용성이 되는 반면, 노광되지 않은 영역은 현상액에 비교적 불용성 상태로 남아 있는다. 따라서, 노광된 포지티브 작용 포토레지스트를 현상액으로 처리하면 코팅의 노광 영역이 제거되어 포토레지스트 코팅에 포지티브 상이 형성된다. 재차, 하지 표면의 소정 부분이 덮이지 않는다.
약 100 내지 약 300 ㎚의 단파장에 감광성이 있는 포토레지스트는 하프마이크론 이하(subhalfmicron)의 기하학이 요구되는 경우 종종 사용된다. 비방향족 중합체, 광산 발생제, 임의로 용해 억제제, 염기 퀀처(quencher) 및 용매를 포함하는, 200 ㎚ 이하, 예컨대 193 ㎚ 및 157 ㎚에 감광성이 있는 원자외선 포토레지스트가 특히 바람직하다. 고해상도의 화학적으로 증폭된 원자외선(100 내지 300 ㎚) 포지티브 톤 포토레지스트는 쿼터 마이크론(quarter micron) 기하학 미만의 상을 패턴화하는 데에 유용하다.
포토레지스트는 또한 기판을 추가로 에칭하여 기판에 트렌치(trench)를 형성시키는 경우 기판 상에 좁은 마스크화 공간을 형성시키는 데에 사용된다. 포지티브 포토레지스트를 사용하는 하드마스크 패턴화는 기판 상에 높은 해상도를 갖는 패턴을 제공함이 밝혀졌다. 그러나, 포지티브 포토레지스트를 사용하여 기판에 매우 좁고 깊은 트렌치를 제공할 필요가 있다.
본 발명은 리버스 톤 패턴이 기판 상에 형성되도록, 소자 상에 패턴을 형성시키는 방법에 관한 것으로서, 상기 방법은 경화 화합물로 동결(freezing)된 포지티브 포토레지스트 패턴을 하드마스크 기술과 함께 이용한다. 포토레지스트를 동결시킴으로써 광범위한 하드마스크 재료가 사용 가능해지는데, 이는 하드마스크 코팅 조성물의 용매는 동결된 포토레지스트를 용해시키지 않는 반면, 이 용매가 동결되지 않은 포토레지스트를 용해시켜 비상용성이 되기 때문이다. 하드마스크 기술로 인해 기판에 매우 깊고 좁은 트렌치의 형성이 가능해진다.
발명의 개요
본 발명은
a) 기판 상에 흡수 하지층(underlayer)을 형성시키는 단계;
b) 하지층 상에 포지티브 포토레지스트의 코팅을 형성시키는 단계;
c) 포지티브 포토레지스트를 이미지 형성 방식으로 노광하고 현상하여 포토레지스트 패턴을 형성시키는 단계;
d) 포토레지스트 패턴을 경화 화합물로 처리하여 경화된 포토레지스트 패턴을 형성시키는 단계;
e) 실리콘 코팅 조성물로부터 경화된 포토레지스트 패턴 상에 실리콘 코팅을 형성시키는 단계로서, 상기 실리콘 코팅은 포토레지스트 패턴보다 두껍고, 추가로 상기 실리콘 코팅 조성물은 실리콘 중합체 및 유기 코팅 용매를 포함하는 단계;
f) 실리콘 코팅이 포토레지스트 패턴과 대략 동일한 두께를 가질 때까지, 실리콘 코팅을 건식 에칭하여 실리콘 코팅을 제거하는 단계; 및
g) 건식 에칭하여 포토레지스트 및 하지층을 제거하여, 포토레지스트 패턴의 원래 위치 아래에 트렌치를 형성시키는 단계
를 포함하는, 소자 상의 리버스 톤 상의 형성 방법에 관한 것이다.
경화 화합물은 2 이상의 아미노(NH2) 기를 가질 수 있다.
경화 화합물은 하기 화학식 I의 구조를 가질 수 있다:
화학식 I
Figure pct00001
상기 화학식에서, W는 C1-C8 알킬렌이고, n은 1 내지 3이다.
본 발명은 또한 상기 방법에 의한 물품 및 상기 방법을 이용하여 제조된 마이크로 전자공학 소자에 관한 것이다.
도 1은 하지층 코팅(1)[층(1)]을 갖는 기판(0)을 도시한다.
도 2는 하치층의 코팅(1) 및 포토레지스트(2)[층(2)]를 갖는 기판(0)을 도시한다.
도 3은 하지층(1) 및 기판(0) 상의 이미지화 포토레지스트(2)를 도시한다.
도 4는 하지층(1) 및 기판(0) 상의 이미지화 포토레지스트 패턴(2A)을 도시한다.
도 5는 동결된 포토레지스트 패턴(2A), 하지층(1) 및 기판(0) 상에 코팅된 실리콘 층(3)[층(3)]을 도시한다.
도 6은 하지층(1) 및 기판(0) 상에 실리콘 층(3)이 동결된 포토레지스트 패턴(2A)과 대략 동일한 두께를 갖는 위치로, 실리콘 층(3)이 에칭백(etch back)된 것을 도시한다.
도 7은 실리콘 층(3), 하지층(1) 및 기판(0)을 갖는 포토레지스트 패턴의 제거 후의 리버스 톤 하드마스크를 도시한다.
도 8은 실리콘 층(3) 내 상을 하지층(1)에 전사하여 기판(0) 에칭을 위한 리버스 톤 하드마스크를 형성시킨 후의 리버스 톤 하드마스크를 도시하는데, 여기서는 깊은 트렌치(4)가 포지티브 포토레지스트 패턴이 있었던 하지층(1) 코팅 및 실리콘 층(3)에 형성되었다.
도 9는 질소 가스 압력 조절기(5), 유량계(6), 질소 가스 매니폴드(7), 버블러(8), 밸브(9), 두껑(11) 달린 챔버, 핫 플레이트(12) 및 배기부(13)를 포함하는 포토레지스트 경화 챔버의 설계를 도시한다.
발명의 상세한 설명
본 발명은 포지티브 포토레지스트의 동결 방법을 포함하는, 리버스 톤 3층 이미지화 공정을 이용하는 본 발명의 전자 소자, 특히 마이크로 전자공학 소자 상의 미세 패턴의 이미지화 방법에 관한 것이다. 본 발명은 또한 본 발명의 방법을 이용하여 제조된 물품에 관한 것이고, 추가로 본 발명의 방법으로부터 제조된 마이크로 전자공학 소자에 관한 것이다.
구체적으로, 본 발명은
a) 기판(0) 상에 흡수 하지층(1)을 형성시키는 단계;
b) 하지층(1) 상에 포지티브 포토레지스트(2)의 코팅을 형성시키는 단계;
c) 포지티브 포토레지스트(2)를 이미지 형성 방식으로 노광하고 현상하여 포토레지스트 패턴을 형성시키는 단계;
d) 우선 포토레지스트 패턴을 경화 화합물로 처리하여 경화된 포토레지스트 패턴(2A)(2회 동결)을 형성시키는 단계;
e) 실리콘 코팅 조성물로부터 경화된 포토레지스트 패턴(2A) 상에 실리콘 코팅(3)을 형성시키는 단계로서, 상기 실리콘 코팅은 포토레지스트 패턴보다 두껍고, 추가로 상기 실리콘 코팅 조성물은 실리콘 중합체 및 유기 코팅 용매를 포함하는 단계;
f) 실리콘 코팅이 포토레지스트 패턴(2A)과 대략 동일한 두께를 가질 때까지, 실리콘 코팅(3)을 건식 에칭하여 실리콘 코팅을 제거하는 단계; 및
g) 건식 에칭하여 포토레지스트 및 하지층(1)을 제거하여, 포토레지스트 패턴(2A)의 원래 위치 아래에 깊은 트렌치(4)를 형성시키는 단계
를 포함하는, 도 1 내지 8을 참조하는 소자 상의 리버스 톤 상의 형성 방법에 관한 것이다.
도 1 내지 8은 본 발명의 리버스 톤 하드마스크의 형성 방법을 간단히 도시한다. 도 1에서와 같이 흡수 하지층 코팅(1)의 비교적 두꺼운 층을 기판(0) 상에 형성시킨다. 그 다음, 도 2에서와 같이 하지층(1)을 포지티브 포토레지스트층(2)으로 코팅한다. 도 3에서와 같이 포토레지스트(2) 패턴을 형성하기 위한 이미지 형성 방식 노광 및 현상의 단계를 포함하는 포토레지스트(2)의 패턴화를 수행한다. 그 다음, 도 4에 도시된 바와 같이 흐름을 방지하기 위해 경화 화합물을 사용하여 포토레지스트(2) 패턴을 동결 또는 가교(2A)(2회 동결)한다. 일구체예에서, 경화 화합물은 2 이상의 아미노(NH2) 기를 가질 수 있다. 동결 공정 후, 실리콘 조성물로부터 나온 실리콘 층(3)을 형성시켜 도 5에서와 같이 패턴화된 영역 내에 포토레지스트 패턴(2A)의 필름 두께보다 더 큰 필름 두께를 얻는다. 그 다음, 실리콘 층(3)을 건식 에칭 공정을 이용하여 에칭백하여 실리콘 층(3)을 포토레지스트(2A) 패턴의 두께와 대략 동일한 두께로 감소시킨다(도 6). 즉, 포토레지스트 표면이 이제 보인다. 유기 하지층(1)의 추가의 에칭을 위한 실리콘 하드마스크를 형성시키는 실리콘 코팅(3)의 패턴을 형성하기 위한 다른 건식 에칭 공정을 이용하여 포토레지스트 패턴(2A)을 제거함으로써 리버스 톤 패턴을 형성시킨다(도 7). 그 다음, 패턴화된 실리콘 하드마스크를 사용하여 건식 에칭 공정에 의해 하지층(1)을 추가로 패턴화하여(도 8), 기판 상에 포지티브 포토레지스트에 비해 깊은 리버스 톤 패턴을 형성시킬 수 있다. 도 8에 도시된 바와 같이, 포지티브 포토레지스트 패턴이 있었던 위치, 즉 리버스 톤 하드마스크가 형성된 위치 아래의 실리콘(3)/하지층(1) 코팅에 깊은 트렌치(4)가 형성된다. 하드마스크로서 실리콘/하지층 패턴기판을 사용하여 기판에 소정의 고해상도 트렌치를 형성하기 위해 기판을 추가로 에칭한다. 포토레지스트 및 하지층은 개별 건식 에칭 단계로 에칭하거나 또는 하나의 연속 건식 에칭 단계로 에칭할 수 있는데, 이는 둘다 포토레지스트 및 하지층이 산소 및/또는 수소를 포함하는 가스로 에칭 가능한 탄소 함량이 높은 유기 재료이기 때문이다.
하지층 코팅이 형성된 기판은 반도체 산업에서 통상적으로 사용되는 것 중 임의의 것일 수 있다. 적절한 기판은 실리콘, 금속 표면으로 코팅된 실리콘 기판, 구리 코팅된 실리콘 웨이퍼, 구리, 알루미늄, 중합체 수지, 이산화규소, 금속, 도핑된 이산화규소, 질화규소, 실리콘옥시나이트라이드(siliconoxynitride), 석영, 용융 실리카, 사파이어, 유기 중합체, 붕규산염 유리, 탄탈, 폴리실리콘, 세라믹, 알루미늄/구리 혼합물; 셀렌화갈륨 및 다른 것들, 예컨대 III/V족 화합물을 포함하지만, 이에 한정되지 않는다. 기판은 상기 기재된 재료로부터 제조된 임의의 수의 층을 포함할 수 있다. 코팅은 무기물, 유기물 또는 이의 혼합일 수 있다. 기판은 집적 회로 또는 MEMS 소자에 유용한 것들일 수 있다.
기판 상에 형성된 하지층[도 1 내지 8에서 층(1)]은 통상적으로 임의의 바닥 반사 방지 코팅 조성물이다. 바닥 반사 방치 코팅 또는 하지층은 유기 스핀 코팅성일 수 있거나, 또는 이는 (무정질 탄소와 같이) 화학 증기 증착에 의해 증착될 수 있다. 통상적으로, 유기 스핀 코팅성 하지층 조성물은 흡수성 또는 비흡수성일 수 있는 하지층 중합체 및 유기 용매를 포함한다. 조성물은 열산 발생제, 염료, 가교제, 광산 발생제, 계면 활성제, 2차 유기 중합체 및 이의 혼합물에서 선택되는 첨가제를 더 포함할 수 있다. 적절한 하지층의 예는 통상적인 바닥 반사 방지 코팅, 예컨대 하기 미국 특허 출원 및 특허에 기재된 것들을 포함한다: 스티렌 중합체(US 2003/0220431, US 6,114,085); 아크릴레이트 중합체(US 2002/0137826, US 2002/0128410, US 2002/0156148); 폴리에스테르(US 2004/0209200, US 2002/0028408); 폴리우레탄(US 2004/0023156); 비방향족 염료를 포함하는 것들(US 2002/0045125, US 2004/0067441); 및 분자형 코팅(US 2004/0110089)(이들은 그 전체를 본 명세서에 참고로 인용함). 하지층의 두께는 하지층 위에 코팅된 포토레지스트의 두께보다 크다. 하지층의 일구체예에서, 하지층은 탄소 함량이 80 중량%를 초과한다. 이러한 탄소 함량이 높은 코팅은 2008년 10월 16일 출원된 US 특허 출원 일련 번호 11/872,962, 2008년 4월 1일 출원된 12/060,307, 2008년 5월 6일 출원된 12/115,776, 또한 US 6,686,124, US 6,737,492 및 US 2003/0204035에 기재되어 있으며, 이들 모두를 그 전체를 본 명세서에서 참고로 인용한다.
하지층 중합체의 일구체예에서, 중합체는 중합체의 주쇄로부터 현수된(pendant) 2 이상의 융합 고리의 발색단, 예컨대 나프틸 및/또는 안트라실 기를 갖는 아크릴레이트 중합체일 수 있다. 단량체 단위는 9-안트라세닐메틸 메타크릴레이트, 2-히드록시프로필메타크릴레이트, 아세톡시에틸 메타크릴레이트, n-부틸메타크릴레이트 및 이의 등가물과 같은 단량체로부터 유도될 수 있다. 예로는 폴리(9-안트라세닐메틸 메타크릴레이트/2-히드록시프로필메타크릴레이트/아세톡시에틸 메타크릴레이트/n-부틸메타크릴레이트)가 있다.
하지층 중합체의 다른 구체예에서, 중합체는 중합체의 주쇄에 3 이상의 융합 고리를 포함할 수 있다. 융합 방향족 단위는 약 3 내지 약 8 개 범위의 방향족 고리를 가질 수 있다. 하지층 중합체는 중합체의 주쇄에 3 이상의 융합 방향족 고리를 갖는 1 이상의 단위, 및 중합체의 주쇄에 지방족 부분을 갖는 1 이상의 단위를 포함한다. 치환 또는 비치환 페닐, 또는 치환 또는 비치환 나프틸과 같은 다른 공단량체 단위도 존재할 수 있다. 일구체예에서, 중합체는 임의의 페닐 또는 단일 고리 방향족 부분을 포함하지 않을 수 있다. 융합 방향족 고리는 코팅에 대한 흡수를 제공하며, 흡수성 발색단이다. 중합체의 융합 방향족 고리는 하기 구조 1 내지 6 및 이의 이성체로 예시되는 단위와 같은 융합 고리 구조를 형성하기 위한 공통 결합을 갖는 치환 또는 비치환 6원 방향족 고리를 포함할 수 있다.
Figure pct00002
융합 고리는 안트라센(1), 페난트렌, 피렌(3), 플루오르안텐, 코로넨(6), 트리페닐렌 및 이의 치환 유도체로 예시될 수 있다.
융합 고리는 방향족 구조의 임의의 위치에서 하지층 중합체의 주쇄를 형성할 수 있으며, 부착 위치는 중합체에 따라 달라질 수 있다. 융합 고리 구조는 분지화 올리고머 또는 분지화 중합체를 형성시키는 2개를 초과하는 부착 지점을 가질 수 있다. 하지층 중합체의 일구체예에서, 융합 방향족 고리의 수는 3 내지 8로 변화할 수 있으며, 중합체의 다른 구체예에서, 이는 4 이상의 융합 방향족 고리를 포함하며, 더욱 구체적으로 중합체는 구조 3에 도시된 바의 피렌을 포함할 수 있다. 융합 방향족 고리는 1 이상의 헤테로 방향족 고리를 포함할 수 있으며, 여기서 헤테로 원자는 하기 구조 7로 도시된 바의 질소 또는 황일 수 있다.
Figure pct00003
하지층 중합체의 일구체예에서, 중합체는 상기 기재된 융합 방향족 단위를 포함하며, 추가로 발색단을 단리하기 위해, 융합 방향족 단위는 지방족 탄소 부분에 연결된다. 중합체의 융합 방향족 단위는 알킬, 알킬아릴, 에테르, 할로알킬, 카르복실산, 카르복실산의 에스테르, 알킬카르보네이트, 알킬알데히드, 케톤과 같은 1 이상의 유기 치환기로 치환 또는 비치환될 수 있다. 치환체의 추가의 예는 -CH2-OH, -CH2Cl, -CH2Br, -CH2O알킬, -CH2-O-C=O(알킬), -CH2-O-C=O(O-알킬), -CH(알킬)-OH, -CH(알킬)-Cl, -CH(알킬)-Br, -CH(알킬)-O-알킬, -CH(알킬)-O-C=O-알킬, -CH(알킬)-O-C=O(O-알킬), -HC=O, -알킬-CO2H, 알킬-C=O(O-알킬), -알킬-OH, -알킬-할로, -알킬-O-C=O(알킬), -알킬-O-C=O(O-알킬), 알킬-HC=O이다. 중합체의 일구체예에서, 융합 방향족 기는 질소를 함유하는 임의의 현수 부분이 없다. 방향족 고리 상의 치환기는 코팅 용매 중 중합체의 용해성을 보조할 수 있다. 융합 방향족 구조 상의 치환기의 일부는 또한 경화 동안 열 용해 되어, 경화된 코팅에 남지 않을 수 있고, 에칭 공정 동안 유용한 높은 탄소 함량의 필름을 제공할 수도 있다. 융합 방향족 기는 더욱 일반적으로 하기 구조 1' 내지 6'로 예시되는데, 하기 식들 중, Ra는 유기 치환기, 예컨대 수소, 히드록시, 히드록시 알킬아릴, 알킬, 알킬아릴, 카르복실산, 카르복실산의 에스테르 등이고, n은 고리 상의 치환기의 수이다. 치환기, n은 1 내지 12의 범위일 수 있다. 통상적으로, n은 1 내지 5의 범위일 수 있으며, 여기서 Ra는 수소를 제외하며, 알킬, 히드록시, 히드록시알킬, 히드록시알킬아릴, 알킬아릴, 에테르, 할로알킬, 알콕시, 카르복실산, 카르복실산의 에스테르, 알킬카르보네이트, 알킬알데히드, 케톤과 같은 기로부터 독립적으로 선택되는 치환기이다. 치환기의 추가의 예는 -CH2-OH, -CH2Cl, -CH2Br, -CH2O알킬, -CH2-O-C=O(알킬), -CH2-O-C=O(O-알킬), -CH(알킬)-OH, -CH(알킬)-Cl, -CH(알킬)-Br, -CH(알킬)-O-알킬, -CH(알킬)-O-C=O-알킬, -CH(알킬)-O-C=O(O-알킬), -HC=O, -알킬-CO2H, 알킬-C=O(O-알킬), -알킬-OH, -알킬-할로, -알킬-O-C=O(알킬), -알킬-O-C=O(O-알킬), 알킬-HC=O이다.
Figure pct00004
중합체는 본 명세서에 기재된 융합 방향족 구조 중 1 이상의 유형을 포함할 수 있다.
상기 기재된 융합 방향족 단위 외에, 반사 방지 코팅의 하지층 중합체는 중합체의 주쇄에 실질적으로 지방족인 부분을 갖는 1 이상의 단위를 추가로 포함하며, 상기 부분은 주로 탄소/수소 비방향족 부분인 알킬렌과 같은 중합체의 주쇄를 형성시키는 비방향족 구조를 갖는 임의의 것이다.
중합체는 중합체에 지방족 주쇄만을 형성시키는 1 이상의 단위를 포함할 수 있으며, 중합체는 단위 -(A)- 및 -(B)-(여기서, A는 상기 기재한 임의의 융합 방향족 단위이며, 이는 직쇄형 또는 분지쇄형일 수 있고, 여기서 B는 지방족 주쇄만을 가짐)를 포함한다고 기재할 수 있다. B는 현수 치환 또는 비치환 아릴 또는 아랄킬 기를 추가로 가질 수 있거나, 또는 연결되어 분지화 중합체를 형성시킬 수 있다. 중합체 내 알킬렌, 지방족 단위는 직쇄형, 분지쇄형, 환형 또는 이의 혼합물인 부분에서 선택될 수 있다. 다수 유형이 알킬렌 단위가 중합체에 존재할 수 있다. 알킬렌 주쇄 단위는 히드록시, 히드록시알킬, 알킬, 알켄, 알켄알킬, 알킬알킨, 알킨, 알콕시, 아릴, 알킬아릴, 아랄킬 에스테르, 에테르, 탄산염, 할로(예컨대 Cl, Br)와 같은 몇 가지 현수 기를 가질 수 있다. 현수 기는 중합체에 유용한 특성을 부여할 수 있다. 현수 기의 일부는 경화 동안 열로 제거되어, 예컨대 불포화 결합을 형성시키기 위한 가교 또는 제거를 통해 탄소 함량이 높은 중합체를 제공할 수 있다. 히드록시아다만틸렌, 히드록시시클로헥실렌, 올레핀계 지환족 부분과 같은 알킬렌기가 중합체의 주쇄에 존재할 수 있다. 이들 기는 또한 경화 단계 동안 중합체의 가교를 위한 가교 위치를 제공할 수 있다. 상기 기재한 것들과 같은 알킬렌 부분 상의 현수 기는 에지 비드(edge bead) 제거에 유용한 용매 또는 조성물의 코팅 용매와 같은 유기 용매 중 중합체의 용해도를 강화시킬 수 있다. 지방족 공단량체 단위의 더욱 특정한 군은 아다만틸렌, 디시클로펜틸렌 및 히드록시 아다만틸렌으로 예시된다. 상이 또는 동일한 기를 함께 연뎔하여 블록 단위를 형성시킬 수 있으며, 이 블록 단위를 그 다음 융합 방향족 고리를 포함하는 단위에 연결할 수 있다. 일부 경우에는 블록 공중합체가 형성될 수 있고, 일부 경우에는 랜덤 공중합체가 형성될 수 있으며, 다른 경우에는 교대 공중합체가 형성될 수 있다. 공중합체는 2 이상의 상이한 지방족 공단량체 단위를 포함할 수 있다. 공중합체는 2 이상의 상이한 융합 방향족 부분을 포함할 수 있다. 일구체예에서, 중합체는 2 이상의 상이한 지방족 공단량체 단위 및 2 이상의 상이한 융합 방향족 부분을 포함할 수 있다. 본 발명의 다른 구체예에서, 중합체는 1 이상의 융합 방향족 단위, 및 방향족이 없는 지방족 단위(들)를 포함한다. 지방족 기를 갖는 단위의 일구체예에서, 시클로알킬렌기는 중합체 주쇄에 대한 연결이 환형 구조를 통해 이루어진 비스시클로알킬렌기, 트리스시클로알킬렌기, 테트라시클로알킬렌기에서 선택되며, 이들 환형 구조는 단환형, 이환형 또는 삼환형 구조를 형성한다. 제2 중합체의 다른 구체예에서, 중합체는 융합 방향족 고리를 갖는 단위, 및 주쇄에 지방족 부분을 갖는 단위를 포함하며, 여기서 지방족 부분은 비치환 알킬렌 및 치환 알킬렌의 혼합물이고, 여기서 치환기는 히드록시, 카르복실산, 카르복실산 에스테르, 알킬에테르, 알콕시 알킬, 알킬아릴, 에테르, 할로알킬, 알킬카르보네이트, 알킬알데히드, 케톤 및 이의 혼합물일 수 있다.
하지층 중합체의 다른 구체예에서, 이는 중합체의 주쇄에 3 이상의 융합 방향족 고리를 갖는 1 이상의 단위, 중합체의 주쇄에 지방족 부분을 갖는 1 이상의 단위, 및 치환된 페닐, 비치환된 페닐, 비치환된 비페닐, 치환된 비페닐, 치환된 나프틸 및 비치환된 나프틸에서 선택되는 기를 포함하는 1 이상의 단위를 포함한다. 3 이상의 방향족 단위 및 지방족 부분을 갖는 융합 방향족 고리는 본 명세서에 기재된 바와 같다. 중합체는 일구체예에서 질소를 함유하는 임의의 현수 부분을 포함하지 않을 수 있다. 페닐, 비페닐 및 나프틸 상의 치환기는 극성 용매, 예컨대 에틸 락테이트, 프로필렌글리콜 모노메틸에테르 아세테이트(PGMEA) 및 프로필렌글리콜 모노메틸에테르(PGME) 중 중합체의 용해도를 증가시키는 1 이상의 극성 기일 수 있다. 치환기의 예는 히드록시, 히드록시알킬, 할라이드 등이다. 페닐, 비페닐 또는 나프틸 기는 주쇄의 일부를 형성할 수 있거나, 또는 아다만틸기, 에틸렌기 등과 같은 연결기를 통해 또는 직접 중합체 주쇄에 부착될 수 있으며, 여기서 단량체 단위의 예는 히드록시스티렌, 페놀, 나프톨 및 히드록시나프틸렌과 같은 단량체로부터 유도될 수 있다. 중합체 주쇄 내 페놀 및/또는 나프톨 부분의 삽입은 탄소 함량이 높은 필름을 위해 바람직하다. 치환된 페닐렌, 비치환된 페닐렌, 비치환된 비페닐렌, 치환된 비페닐렌, 치환된 나프틸렌 또는 비치환된 나프틸렌의 양은 중합체 내에 약 5 내지 약 50 몰%, 또는 중합체 내에 약 20 내지 45 몰% 범위일 수 있다. 페놀 및/또는 나프톨 기를 추가로 포함하는 본 발명의 중합체를 포함하는 조성물은, 조성물의 코팅 용매가 PGMEA 또는 PGMEA와 PGME의 혼합물일 경우 유용하다. 페놀 및/또는 나프톨 기를 추가로 포함하는 본 발명의 중합체를 포함하는 조성물은, 에지 비드 제거제로 과량이 조성물이 제거되어야 할 경우, 특히 에지 비드 제거제가 PGMEA 또는 PGMEA와 PGME의 혼합물일 경우 또한 유용하다. 에틸 락테이트를 포함하는 다른 에지 비드 제거제도 사용할 수 있다. 일구체예에서, 조성물은 중합체의 주쇄에 3 이상의 융합 방향족 고리를 갖는 1 이상의 단위, 중합체의 주쇄에 지방족 부분을 갖는 1 이상의 단위, 및 페놀, 나프톨 및 이의 혼합물에서 선택되는 기를 포함하는 1 이상의 단위를 포함하는 중합체를 포함할 수 있다. 융합 방향족 부분으로서 피렌을 사용할 수 있다. 조성물은 PGMEA를 포함하는 용매를 추가로 함유할 수 있다. 본 명세서에 기재된 바의 다른 첨가제를 조성물에 사용할 수 있다.
하지층 중합체의 중량 평균 분자량은 약 1,000 내지 약 50,0000, 또는 약 1,300 내지 약 20,000 범위일 수 있다. 중합체의 탄소 함량은 원소 분석에 의해 측정시 80% 초과, 바람직하게는 85% 초과일 수 있다. 반사 방지 코팅 조성물의 탄소 함량은 원소 분석에 의해 측정시 80 중량% 초과, 또는 85 중량% 초과이다. 탄소 함량이 높은 재료는 하지층의 더 빠른 건식 에칭을 가능하게 하여, 더 두꺼운 하드마스크 층이 기판 상에 남아 있게 한다. 하지층으로서 작용할 수 있는 다른 공지된 유형의 흡수성 반사 방지 코팅도 사용할 수 있다. 탄소 함량이 80 중량%를 초과하는 흡수성 반사 방지 코팅 필름이 유용하다.
하지층은 약 150 내지 약 800 ㎚ 범위의 코팅을 가질 수 있다. 정확한 두께는 원하는 에칭 공정의 유형 및 하지층 코팅의 조성에 따라 결정된다. 하지층의 굴절 지수(n)는 통상적으로 그 위에 코팅되는 포토레지스트의 범위 내이며, 건식 리소그래피 및 침지 리소그래피에 대해, 특히 193 ㎚ 및 248 ㎚에 대해 약 1.6 내지 약 1.85 범위일 수 있다. 흡광치(k)는 통상적으로 저흡광 재료로서 지칭되는 하지층의 필름 두께에 따라 약 0.1 내지 약 0.3 범위이다. n 및 k 값은 J. A. Woollam WVASE VU-32 ™ Ellipsometer와 같은 타원계(ellipsometer)를 이용하여 계산할 수 있다. k 및 n에 대한 최적 범위의 정확한 값은 사용되는 노광 파장 및 적용 분야의 유형에 따라 달라진다.
유기 스핀 코팅성 반사 방지 하지층 코팅 조성물을 침지, 스핀 코팅 또는 분무과 같은 당업자에게 잘 알려진 기술을 이용하여 기판 상에 코팅한다. 임의의 잔류 용매를 제거하고 가교를 유도하고 이에 따라 반사 방지 코팅과 이의 위에 코팅되는 층 사이의 상호 혼합을 방지하기 위한 반사 방지 코팅의 불용화를 유도하기에 충분한 시간 길이 동안, 코팅을 핫플레이트 또는 대류 오븐 상에서 추가로 가열한다. 바람직한 온도 범위는 약 90 내지 약 280℃이다.
포지티브 포토레지스트 층[도 2 내지 6에서 층(2)]이 하지층 위에 형성되며, 사용되는 특정 포토레지스트는 반도체 산업에서 사용되는 유형 중 임의의 것일 수 있으며, 단, 포토레지스트 및 반사 방지 하지층 코팅 중 광 활성 화합물은 실질적으로 이미지화 공정에 사용되는 노광 파장에서 흡광한다. 일반적으로, 네거티브 포토레지스트에 비해 포지티브 포토레지스트가 바람직한데, 이는 포지티브 포토레지스트가 더 높은 해상도의 패턴을 제공하고 더욱 흔히 입수 가능하기 때문이다.
본 발명의 방법은 원자외선 노광에 특히 적절하다. 통상적으로, 화학적으로 증폭된 포토레지스트가 사용된다. 이는 포지티브 포토레지스트일 수 있다. 현재까지, 소형화에 상당한 진전을 제공했던 몇 가지 주요 방사선 노광 기술이 있으며, 이들은 248 ㎚, 193 ㎚, 157 ㎚ 및 13.5 ㎚의 방사선이다. 248 ㎚에 대한 포토레지스트는 통상적으로 치환된 폴리히드록시스티렌 및 이의 공중합체/오늄 염, 예컨대 US 4,491,628 및 US 5,350,660에 기재된 것들을 기반으로 하였다. 한편, 200 ㎚ 이하의 노광에 대한 포토레지스트는 비방향족 중합체를 필요로 하는데, 이는 방향족이 이 파장에서는 불투명하기 때문이다. US 5,843,624 및 US 6,866,984는 193 ㎚ 노광에 유용한 포토레지스트를 개시한다. 일반적으로, 비환형 탄화수소를 함유하는 중합체가 200 ㎚ 이하의 노광용 포토레지스트에 사용된다. 비환형 탄화수소는 다수의 이유로 중합체에 혼입되는데, 우선 이는 내에칭성을 개선시키는 비교적 높은 탄소 대 수소 비를 가지며, 또한 낮은 파장에서 투명성을 제공하고, 비교적 높은 유리 전이 온도를 갖기 때문이다. US 5,843,624는 말레산 무수물 및 불포화 환형 단량체의 자유 라디칼 중합에 의해 얻어진 포토레지스트용 중합체를 개시한다. 193 ㎚ 포토레지스트의 공지된 유형 중 임의의 것, 예컨대 US 6,447,980 및 US 6,732,488에 개시된 것들을 사용할 수 있으며, 이는 본 명세서에서 참고로 인용한다.
157 ㎚에서 감광성이 있고 현수 플루오로알콜기를 갖는 플루오르화 중합체를 기반으로 하는 포토레지스트의 2 가지 기본 부류는 이 파장에서 실질적으로 투명한 것으로 공지되어 있다. 157 ㎚ 플루오로알콜 포토레지스트의 한 부류는 플루오르화 노르보르넨과 같은 기를 포함하는 중합체로부터 유도되며, 금속 촉매화 또는 라디칼 중합을 이용하여 테트라플루오로에틸렌과 같은 다른 투명 단량체와 공중합되거나 단독 중합된다(US 6,790,587 및 US 6,849,377). 일반적으로, 이들 재료는 더 높은 흡광도를 제공하지만, 이의 높은 비환형 화합물 함량으로 인해 양호한 플라즈마 내에칭성을 갖는다. 더욱 최근에, 중합체 주쇄가 1,1,2,3,3-펜타플루오로-4-트리플루오로메틸-4-히드록시-1,6-헵타디엔과 같은 비대칭 디엔의 공중합(US 6,818,258) 또는 플루오로디엔과 올레핀의 공중합(US 6,916,590)으로부터 유도되는 157 ㎚ 플루오로알콜 중합체의 일부류가 개시되었다. 이들 재료는 157 ㎚에서 수용 가능한 흡광도를 제공하지만, 플루오로-노르보르넨 중합체에 비해 낮은 이의 비환형 화합물 함량으로 인해, 더 낮은 플라즈마 내에칭성을 갖는다. 이들 두 부류의 중합체를 종종 블렌딩하여 제1 중합체 유형의 높은 내에칭성과 제2 중합체 유형의 157 ㎚에서의 높은 투명성 사이의 균형을 제공할 수 있다. 13.5 ㎚의 극자외선(EUV)에서 흡광하는 포토레지스트도 유용하며, 이는 당업계에 공지되어 있다. e-빔 포토레지스트도 유용하다. 365 ㎚ 및 436 ㎚에 감광성이 있는 포토레지스트도 사용할 수 있다. 현재로서는, 193 ㎚ 및 EUV 포토레지스트가 바람직하다.
포토레지스트 조성물의 고상 성분을 포토레지스트의 고상 성분을 용해시키는 용매 또는 용매의 혼합물과 혼합한다. 포토레지스트에 적절한 용매는 에컨대 글리콜 에테르 유도체, 예컨대 에틸 셀로솔브, 메틸 셀로솔브, 프로필렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 n-프로필 에테르 또는 디에틸렌 글리콜 디메틸 에테르; 글리콜 에테르 에스테르 유도체, 예컨대 에틸 셀로솔브 아세테이트, 메틸 셀로솔브 아세테이트 또는 프로필렌 글리콜 모노메틸 에테르 아세테이트; 카르복실레이트, 예컨대 에틸 아세테이트, n-부틸 아세테이트 및 아밀 아세테이트; 이염기성 산의 카르복실레이트, 예컨대 디에틸옥실레이트 및 디에틸말로네이트; 글리콜의 디카르복실레이트, 예컨대 에틸렌 글리콜 디아세테이트 및 프로필렌 글리콜 디아세테이트; 및 히드록시 카르복실레이트, 예컨대 메틸 락테이트, 에틸 락테이트, 에틸 글리콜레이트 및 에틸-3-히드록시 프로피오네이트; 케톤 에스테르, 예컨대 메틸 피루베이트 또는 에틸 피루베이트; 알콕시카르복실산 에스테르, 예컨대 메틸 3-메톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 에틸 2-히드록시-2-메틸프로피오네이트 또는 메틸에톡시프로피오네이트; 케톤 유도체, 예컨대 메틸 에틸 케톤, 아세틸 아세톤, 시클로펜타논, 시클로헥사논 또는 2-헵타논; 케톤 에테르 유도체, 예컨대 디아세톤 알콜 메틸 에테르; 케톤 알콜 유도체, 예컨대 아세톨 또는 디아세톤 알콜; 케탈 또는 아세탈 등, 1,3 디옥솔론 및 디에톡시프로판; 락톤, 예컨대 부티로락톤; 아미드 유도체, 예컨대 디메틸아세트아미드 또는 디메틸포름아미드, 아니솔 및 이의 혼합물을 포함할 수 있다. 사용 가능하며 혼합물로서 또는 단독으로 사용되는 통상적인 포토레지스트용 용매는 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 모노메틸 에테르(PGME), 및 에틸 락테이트(EL), 2-헵타논, 시클로펜타논, 시클로헥사논 및 감마 부티로락톤을 포함하지만, 이에 한정되지 않으며, PGME, PGMEA 및 EL 또는 이의 혼합물이 바람직하다. 낮은 정도의 독성, 양호한 코팅성 및 용해 특성을 갖는 용매가 일반적으로 바람직하다.
방법의 일구체예에서, 193 ㎚에 감광성이 있는 포토레지스트가 사용된다. 포토레지스트는 중합체, 광산 발생제 및 용매를 포함한다. 중합체는 수용성 알칼리 현상액에 불용성인 (메트)아크릴레이트 중합체이다. 이러한 중합체는 단량체의 중합에서 유도된 단위, 예컨대 특히 비환형 (메트)아크릴레이트, 메발론산 락톤 메타크릴레이트, 2-메틸-2-아다만틸 메타크릴레이트, 2-아다만틸 메타크릴레이트(AdMA), 2-메틸-2-아다만틸 아크릴레이트(MAdA), 2-에틸-2-아다만틸 메타크릴레이트(EAdMA), 3,5-디메틸-7-히드록시 아다만틸 메타크릴레이트(DMHAdMA), 이소아다만틸 메타크릴레이트, 히드록시-1-메타크릴옥시아다만탄(HAdMA; 예컨대, 3 위치에서의 히드록시), 히드록시-1-아다만틸 아크릴레이트(HADA; 예컨대, 3 위치에서의 히드록시), 에틸시클로펜틸아크릴레이트(ECPA), 에틸시클로펜틸메타크릴레이트(ECPMA), 트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트(TCDMA), 3,5-디히드록시-1-메타크릴옥시아다만탄(DHAdMA), β-메타크릴옥시-γ-부티로락톤, α- 또는 β-감마-부티로락톤 메타크릴레이트(α- 또는 β-GBLMA), 5-메타크릴로일옥시-2,6-노르보르난카르보락톤(MNBL), 5-아크릴로일옥시-2,6-노르보르난카르보락톤(ANBL), 이소부틸 메타크릴레이트(IBMA), α-감마-부티로락톤 아크릴레이트(α-GBLA), 스피로락톤 (메트)아크릴레이트, 옥시트리시클로데칸 (메트)아크릴레이트, 아다만탄 락톤 (메트)아크릴레이트 및 α-메타크릴옥시-γ-부티로락톤를 포함할 수 있다. 이들 단량체로 형성된 중합체의 예는 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-α-감마-부티로락톤 메타크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-β-감마-부티로락톤 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-β-감마-부티로락톤 메타크릴레이트); 폴리(t-부틸 노르보르넨 카르복실레이트-코-말레산 무수물-코-2-메틸-2-아다만틸 메타크릴레이트-코-β-감마-부티로락톤 메타크릴레이트-코-메타크릴로일옥시 노르보르넨 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-β-감마-부티로락톤 메타크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-β-감마-부티로락톤 메타크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 메타크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3,5-디히드록시-1-메타크릴옥시아다만탄-코-α-감마-부티로락톤 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3,5-디메틸-7-히드록시 아다만틸 메타크릴레이트-코-α-감마-부티로락톤 메타크릴레이트); 폴리(2-메틸-2-아다만틸 아크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-α-감마-부티로락톤 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-β-감마-부티로락톤 메타크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-β-감마-부티로락톤 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-에틸시클로펜틸아크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-α-감마-부티로락톤 메타크릴레이트-코-2-에틸-2-아다만틸 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-β-감마-부티로락톤 메타크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-2-에틸-2-아다만틸 메타크릴레이트-코-β-감마-부티로락톤 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-2-에틸-2-아다만틸 메타크릴레이트-코-α-감마-부티로락톤 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-메타크릴로일옥시 노르보르넨 메타크릴레이트-코-β-감마-부티로락톤 메타크릴레이트); 폴리(에틸시클로펜틸메타크릴레이트-코-2-에틸-2-아다만틸 메타크릴레이트-코-α-감마-부티로락톤 아크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-이소부틸 메타크릴레이트-코-α-감마-부티로락톤 아크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-β-감마-부티로락톤 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 아크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-β 감마-부티로락톤 메타크릴레이트-코-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-메타크릴로일옥시 노르보르넨 메타크릴레이트-코-β-감마-부티로락톤 메타크릴레이트-코-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-메타크릴로일옥시 노르보르넨 메타크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-α-감마-부티로락톤 메타크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트-코-α-감마-부티로락톤 메타크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 아크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-메타크릴옥시아다만탄-코-α-감마-부티로락톤 메타크릴레이트-코-2-에틸-2-아다만틸-코-메타크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 메타크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 메타크릴레이트); 폴리(2-메틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-5-아크릴로일옥시-2,6-노르보르난카르보락톤); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 메타크릴레이트-코-α-감마-부티로락톤 아크릴레이트); 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 메타크릴레이트-코-2-아다만틸 메타크릴레이트); 및 폴리(2-에틸-2-아다만틸 메타크릴레이트-코-3-히드록시-1-아다만틸 아크릴레이트-코-α-감마-부티로락톤 아크릴레이트-코-트리시클로[5,2,1,02,6]데카-8-일 메타크릴레이트)를 포함한다. 1 이상의 락톤기를 포함하는 포토레지스트 중합체가 바람직하다.
포토레지스트는 산성 퀀처, 계면 활성제, 염료, 가교제 등을 더 포함할 수 있다. 유용한 포토레지스트가 US 공보 제US2009/0042148호 및 US 공보 제US2007/0015084호에 추가로 예시되며, 이를 참고로 인용한다.
코팅 공정 후, 포토레지스트를 당업계에 공지된 바와 같이 패턴화한다. 패턴화는 방사선원으로의 이미지 형성 방식 노광 및 현상을 포함한다. 노광은 특정 노광원에 대한 통상적인 노광 장비를 이용하여 수행할 수 있다. 그 다음, 노광된 포토레지스트를 수성 현상액 중에서 현상하여 처리된 포토레지스트를 제거한다. 현상액은 바람직하게는 예컨대 테트라메틸 암모늄 히드록시드(TMAH)를 포함하는 알칼리 수용액이다. 현상액은 계면 활성제(들)를 더 포함할 수 있다. 현상 전 및 노광 후 임의의 가열 단계를 삽입할 수 있다. 포토레지스트의 코팅 및 이미지화 공정은 당업자에게 잘 알려져 있으며, 사용되는 포토레지스트의 특정 유형에 대해 최적화한다. 통상적으로, 포토레지스트의 두께는 193 ㎚ 노광에 대해 약 50 내지 약 400 ㎚ 범위이다. 포토레지스트 패턴화는 사용되는 포토레지스트에 의해 결정된다.
포토레지스트 패턴이 일단 형성되면, 그 다음 포토레지스트 패턴을 동결 또는 가교시켜[도 4 내지 6에서 동결된 패턴(2)] 통상적인 유기 용매 중 용해를 방지한다. 포토레지스트 패턴을 경화 화합물로 처리하여 포토레지스트를 경화시키고, 이에 따라 패턴이 포토레지스트 패턴 상에 코팅될 실리콘 코팅 조성물의 용매에 불용성이 된다. 포토레지스트 패턴을 동결시키기 위한 경화 화합물의 사용으로 Tg가 높거나 낮은 중합체를 포함하는 포토레지스트와 같이 광범위한 포토레지스트의 사용이 가능해진다. 아크릴레이트 중합체를 포함하는 포토레지스트가 본 발명의 경화 처리에 유용한데, 이는 대부분의 중합체가 200℃보다 낮은 Tg를 갖기 때문이다. 락톤기를 갖는 아크릴레이트를 포함하는 포토레지스트도 유용하다. 본 발명의 일구체예에서, 포토레지스트 패턴의 경화는 2 이상의 아미노(-NH2) 기를 갖는 경화 아미노 화합물로 수행하며, 동시에 포토레지스트 패턴을 가열하여 경화된 제1 포토레지스트 패턴을 형성시킨다. 이론에 구속시키려 하는 것은 아니지만, 아미노 화합물은 포토레지스트 패턴을 통해 확산되고 열의 존재 하에 포토레지스트를 가교시켜 경화 또는 동결 패턴을 형성시키는 것으로 여겨진다. 패턴은 실리콘 코팅 조성물의 용매에 불용성이 된다. 경화 처리는 경화 화합물의 증기를 이용하여 챔버 또는 밀봉 오븐을 구비하는 핫 플레이트 상에서 수행할 수 있다. 포토레지스트 패턴의 경화는 아미노 화합물이 질소와 같은 캐리어 가스와 함께 기화된 형태로 도입되는 밀봉된 챔버 내 핫 플레이트 상에서 수행할 수 있으며, 챔버는 밀봉 대기 중 패턴화된 기판을 가열하기 위한 가열원을 더 포함한다. 하나의 경우, 챔버는 기판을 지지하기 위한 핫 플레이트, 아미노 화합물을 도입하기 위한 입구, 퍼징 입구 및 배기 출구를 포함한다. 퍼징은 질소, 아르곤 또는 헬륨과 같은 가스로 수행할 수 있다.
도 9는 질소 가스 압력 조절기(5), 유량계(6), 질소 가스 매니폴드(7), 버블러(8), 밸브(9), 두껑(11) 달린 챔버(10), 핫 플레이트(12) 상에 위치한 챔버 및 배기부(13)를 포함하는 통상적인 패턴 경화용 챔버를 도시한다.
아미노 화합물의 유형, 경화 온도 및 시간, 아미노 화합물의 농도, 챔버 내 아미노 화합물의 유속 등과 같은 조건을 최적화하여 최적 경화도를 제공한다. 경화 정도는 경화된 포토레지스트를 시험 용매에 침지하여 처리된 포토레지스트의 필름 두께의 손실을 측정하여 결정할 수 있다. 필름 두께 손실을 최소화하는 것이 바람직한데, 여기서 실리콘 조성물의 용매 중 처리된 포토레지스트의 필름 두께 손실은 10 ㎚ 미만, 바람직하게는 8 ㎚ 미만, 더욱 바람직하게는 5 ㎚ 미만이다. 경화가 부족하면 포토레지스트를 용해시킬 것이다. 구체적으로, 용매는 예로서 본 명세서에 기재된 포토레지스트의 용매(들)로부터 선택될 수 있다. 경화 공정이 일련 번호 12/061,061 및 12/061,111인 2008년 4월 2일 출원된 미국 출원에 추가로 기재되어 있으며, 이는 본 명세서에서 그 전체를 참고로 인용한다.
사용된 경화 화합물은 포토레지스트를 경화시키는 임의의 것일 수 있다. 경화된 포토레지스트는 실리콘 코팅 조성물의 용매에 불용성이다. 경화된 포토레지스트도 열적으로 비유동성이다. 경화 화합물은 2 이상의 아미노(NH2) 기를 가질 수 있다. 경화 화합물은 하기 화학식 I의 구조로 예시될 수 있다.
화학식 I
Figure pct00005
상기 화학식에서, W는 C1-C8 알킬렌이고, n은 1 내지 3이다. 아미노 화합물의 일구체예에서, n=1이다. 알킬렌은 직쇄형 또는 분지쇄형을 지칭한다. 바람직하게는, 알킬렌은 C1-C4이다. 아미노 화합물의 예는 하기와 같다:
에틸렌디아민 H2NCH2CH2NH2
(1,2-디아미노에탄)
1,2-프로판디아민
Figure pct00006
1,3-디아미노프로판 H2NCH2CH2CH2NH2
아미노 화합물이 챔버에 사용되는 경우, 증기를 형성할 수 있는 화합물이 바람직하다. 아미노 화합물은 약 25 내지 약 250℃ 범위의 온도에서, 약 30 초 내지 약 20 분 동안 경화에 사용될 수 있다. 상한 경화 온도는 바람직하게는 포토레지스트 패턴의 유동 온도 이하이다. 하한 경화 온도는 더 긴 경화 시간을 필요로 한다. 화합물의 유속은 약 1 내지 약 10 ℓ/분 범위일 수 있다. 아미노 화합물의 증기압 및/또는 이의 온도를 증가시켜 경화 반응을 가속화할 수 있다. 아미노 화합물의 사용으로 포토레지스트 패턴의 열 경화 단독보다 더 낮은 경화 온도 및 더 낮은 경화 시간이 가능해진다.
추가의 소성 단계를 처리 단계 후에 포함시킬 수 있는데, 이는 패턴의 추가의 가교 및/또는 고밀도화 및 또한 필름 내 임의의 잔류 가스의 휘발을 유도할 수 있다. 소성 단계는 약 190 내지 약 250℃의 온도 범위에서 수행할 수 있다. 고밀도화로 패턴 프로필이 개선될 수 있다. 적절한 양의 포토레지스트의 경화 후, 포토레지스트 패턴을 임의로 세정액으로 처리할 수 있다. 세정액의 예는 상업적으로 구입 가능한 AZ®ArF Thinner 또는 AZ®ArF MP Thinner와 같은 포토레지스트용 에지 비드 제거제 또는 포토레지스트 용매(들) 중 임의의 것일 수 있다.
포토레지스트의 경화 후, 비등각 실리콘 층[층(3)]이 도 5에 도시된 바와 같이 포토레지스트 패턴 상에 형성된다. 실리콘 층의 두께는 포토레지스트 패턴보다 두꺼우며, 패턴을 완전히 덮어 꽤 편평한 층을 형성시킨다. 편평화 층을 형성시킬 수 있는 실리콘 조성물이 바람직하다. 패턴 영역 내 실리콘 층의 두께(X ㎚)는 포토레지스트 패턴 높이(Y ㎚)를 덮기에 충분할 필요가 있다. 즉, X>Y이다. 예로서, 포토레지스트 패턴(Y)의 두께는 약 20 내지 약 200 ㎚ 범위일 수 있다. 실리콘 층(X)의 두께는 포토레지스트 층의 두께 및 에칭 공정에 따라 약 25 내지 300 ㎚ 범위일 수 있다. X 및 Y의 차이는 약 5 내지 약 50 ㎚ 범위일 수 있다. 하니웰로부터 입수 가능한 것들, 예컨대 DUO248TM 및 ACCUGLASSA® SOG-메틸실록산 중합체 시리즈와 같은 임의의 실리콘 함유 스핀 온 글래스(spin-on-glass) 유형의 용액을 사용할 수 있다. 일구체예에서, 실리콘 코팅 조성물의 실리콘 중합체는 실세스퀴옥산 중합체이다. 특허 출원 US 2007/0298349, US 2008/0008954, US 2005/0277058 및 US 2008/0196626에 기재된 실리콘 중합체 중 임의의 것을 사용할 수 있으며, 이들은 본 명세서에서 그 전체를 참고로 인용한다. 다른 예는 WO 2006/065321에 기재된 것들이다. 통상적인 실리콘 조성물은 비유동 필름을 형성할 수 있는 실리콘 중합체를 포함한다. 예로서, 실세스퀴옥산 중합체는 현수 에폭시, 이소프로필 또는 페닐 기를 가질 수 있다. 조성물은 암모늄 염 또는 할라이드와 같은 가교 촉매를 추가로 함유할 수 있다. 층의 실리콘 함량은 18 중량%를 초과한다. 조성물은 스핀 코팅 및 가열된다. 사용되는 실리콘 재료의 통상적인 변수를 이용하여 코팅을 형성시킨다.
3층이 형성된 후, 건식 에칭 챔버에 기판을 놓는데, 이 챔버에서는 포토레지스트 패턴의 상부가 보이도록 하는 포토레지스트 패턴의 두께에 가깝게 실리콘 코팅을 에칭 백하는 데에 CF4와 같은 플루오르화 탄화수소를 포함하는 가스 혼합물을 사용한다(도 6). 포토레지스트에 대한 에칭 속도 및 에칭 속도 선택도는 산소와 같은 다른 가스를 첨가하여 제어할 수 있다. 센서는 에칭에 대한 종료점을 제공하며, 제거될 필름의 두께 및 에칭 속도가 공지된 경우, 시한(timed) 에칭을 이용할 수 있다. 일부 소량의 포토레지스트 패턴의 표면 상부층을 에칭백 공정 동안 제거할 수 있다. 포토레지스트의 표면에 일단 포토레지스트가 보이면, 포토레지스트 및 하지층을 건식 에칭하여 포토레지스트 패턴의 톤을 리버싱(reversing)할 수 있다(도 7 내지 8). 산소 및/또는 수소를 포함하는 가스가 포토레지스트 및 하지층의 에칭에 유용하다. 아르곤, 헬륨, 크세논, 크립톤, 네온 및 이의 조합과 같은 추가의 가스를 첨가할 수 있다. 성능을 개선시키기 위해, 가스 혼합물은 질소, 일산화탄소, 이산화탄소, 이산화황, BCl3, HBr, Cl2 및 불소 함유 가스, 예컨대 NF3, SF6, CF4 또는 이의 조합과 같은 다른 가스를 추가로 포함할 수 있다. 포토레지스트 및 하지층을 하나의 연속 공정으로 또는 2개의 별도의 단계로 제거할 수 있다. 이방성 에칭이 포토레지스트 및 하지층의 에칭에 바람직하다.
본 발명의 방법의 하지층/실리콘 하드마스크 패턴은 기판을 건식 에칭하여 소정 깊이의 트렌치를 형성시키기 위한 마스크로서 사용할 수 있다. 신규한 본 발명의 방법으로 표준 고해상도의 포지티브 포토레지스트를 기판 내 리버스 톤의 좁은 트렌치의 형성에 사용 가능해진다. 당업계에 공지된 바와 같이 적절한 기판에 대해 건식 에칭 공정을 최적화한다.
달리 지시하지 않는 한, 명세서 및 청구 범위에 사용된 성분의 양, 특성, 예컨대 분자량, 반응 조건 등을 나타내는 모든 숫자는 모든 경우 용어 "약"으로 한정하였음을 이해해야 한다. 상기 지칭된 서류 각각을 모든 목적을 위해 본 명세서에서 그 전체를 참고로 인용한다. 하기 특정 실시예는 본 발명의 조성물을 제조 및 이용하는 방법의 상세한 예시를 제공할 것이다. 그러나, 이들 실시예는 어떤 방식으로든 본 발명의 범위를 한정 또는 제한하려는 것이 아니며, 본 발명을 실시하기 위해 배제적으로 사용되어야 하는 조건, 변수 또는 값을 제공하는 것으로 해석되어서는 안 된다.
실시예
실시예 1: 하지층 제제
10 g의 MX-270[N,N',N",N"'-(테트라메톡시메틸)글리콜우릴, 일본 가나가와켄 다무라 히라츠카시 소재 산와 케미컬 컴퍼니로부터 입수 가능), 90 g의 70/30 폴리(메틸 메타크릴레이트-코-히드록스스티렌)(미국 델라웨어주 윌밍턴 마켓 스트릿 1007 소재 듀퐁으로부터 입수 가능) 및 40 g의 10% 도데실벤질설포늄 트리에틸암모늄 염(ArF Thinner 중) 및 860 g의 ArF Thinner(70:30 PGME:PGMEA)를 취해 하지층 모액을 제조하였다.
모액을 ArF Thinner로 1:1 중량비로 희석하여 코팅 조성물을 제조하였다. 그 다음, 코팅액을 0.2 ㎛ PTFE 필터를 통해 여과하였다.
실시예 2: 포토레지스트 제제
포토레지스트, AZ® AX2110P(미국 뉴저지주 섬머빌 메이스터 애버뉴 70 소재 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프로부터 입수 가능)를 AZ® ArF MP Thinner(미국 뉴저지주 섬머빌 메이스터 애버뉴 70 소재 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프로부터 입수 가능)로 1:1 중량비로 희석하였다. 그 다음, 코팅액을 0.2 ㎛ PTFE 필터를 통해 여과하였다.
실시예 3: 스핀 온 글래스(SOG) 제제
2.5 g의 폴리(페닐-메틸실세스퀴옥산)(SST-2PM1, 미국 펜실베이니아주 모리스빌 이 스틸 로드 11 소재 젤레스트 인코오포레이티드로부터 입수 가능)을 9.5 g의 AZ®ArF Thinner로 용해시켰다. 그 다음, 코팅액을 0.2 ㎛ PTFE 필터를 통해 여과하였다.
실시예 4: 리버스 톤 리소그래피 스택(stack) 제조
실시예 1로부터의 탄소 하지층 코팅을 1500 rpm에서 8 인치(0.2032 m) 실리콘 웨이퍼 상에 스핀 코팅하고, 60 초 동안 200℃에서 소성하여 200 ㎚의 필름 두께를 얻었다. 실시예 2로부터의 포토레지스트 제제를 1500 rpm에서 코팅하고, 100℃/60 초에서 연질 소성하여 90 ㎚의 필름 두께를 얻었다. 스택을 TEL Act 12" 트랙에 접속된 ArF 스캐너{Nikon NSR-306D: NA=0.85, 쌍극자 Y 조명, 0.8 s, a/R = 0.63, 레티클: 90 ㎚ 라인 간격 특징부로 구성된 그래프팅을 갖는 6% HTPSM[고투과율 하프원 톤 페이즈 쉬프트 마스크(high-transmittance half-one phase shift mask)]} 상에서 노광시키고, AZ300MIF(수산화테트라메틸암모늄을 주성분으로 함, 미국 뉴저지주 섬머빌 메이스터 애버뉴 70 소재 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프로부터 입수 가능)으로 30 초 동안 23℃에서 현상하였다. 층을 60 초 동안 110℃에서 노광 후 소성시켰다. 135 ㎚ 간격을 갖는 45 ㎚ 라인의 특징부를 나타낸 웨이퍼의 주사 전자 현미경(SEM) 픽쳐로부터의 단면은 용이하게 분할되었다.
현상된 상을 도 9에 도시된 바와 같은 증기 반응 챔버(VRC)에서 디아미노에탄(H2NCH2CH2NH2)으로 충전된 250 ㎖ 버블러를 통해 흐르는 3 ℓ/분의 질소 유속으로 2 분 동안 동결시켰다. VRC의 소성 온도를 180℃로 유지시켰다.
실시예 3으로부터의 스핀 온 글래스(SOG) 제제를 1500 rpm의 스핀 속도로 동결된 포토레지스트 상 위에 코팅하고, 60 초 동안 110℃에서 후속 소성하여 90 ㎚의 필름 두께를 얻었다.
실시예 5: 패턴 전사
과도한 두께의 SOG 필름 두께를 제거하기 위해, 리버스 톤 리소그래피 스택을 갖는 웨이퍼를 우선 5 초 동안 SOG 에칭백 단계를 거치게 했다. 이는 1:1 CF4/O2 에칭 가스 조합을 표 1에 기재된 다른 플라즈마 조건과 함께 이용하여 달성하였다. 다음 에칭 단계는 포토레지스트(PR) 상의 제거였으며, 이는 산소 풍부 에칭을 이용하여 달성하였다. 포토레지스트의 제거 외에, 산소 에칭은 유기 물질을 제거하고 SiO2를 형성시켜 SOG를 경화시켰다. 포토레지스트 제거 단계는 이방성 에칭을 필요로 하지 않지만(구조 그 자체가 원래 필요한 이방성을 갖기 때문임), 이방성 O2 에칭 공정으로 포토레지스트 제거 및 하지층에의 SOG의 패턴 전사 단계의 조합이 가능해질 수 있었다. 포토레지스트 제거와 하지층(UL) 패턴 전사 에칭 단계의 조합은 15 초 02 전사를 표 1에 기재된 다른 플라즈마 조건과 함께 이용하여 달성하였다.
최종 에칭 플라즈마는 포지티브 포토레지스트 패턴의 리버스 상(reverse image)이었고, 이는 또한 포토레지스트 패턴보다 더 두껍고 더 내에칭성이 있는 패턴이어서, 포토레지스트 패턴보다 기판에 더 양호한 패턴 전사를 가능하게 하였다.
Figure pct00007

Claims (21)

  1. a) 기판 상에 흡수 하지층(underlayer)을 형성시키는 단계;
    b) 하지층 상에 포지티브 포토레지스트의 코팅을 형성시키는 단계;
    c) 포지티브 포토레지스트를 이미지 형성 방식으로(imagewise) 노광하고 현상하여 포토레지스트 패턴을 형성시키는 단계;
    d) 포토레지스트 패턴을 경화 화합물로 처리하여 경화된 포토레지스트 패턴을 형성시키는 단계;
    e) 실리콘 코팅 조성물로부터 경화된 포토레지스트 패턴 상에 실리콘 코팅을 형성시키는 단계로서, 상기 실리콘 코팅은 포토레지스트 패턴보다 두껍고, 추가로 상기 실리콘 코팅 조성물은 실리콘 중합체 및 유기 코팅 용매를 포함하는 단계;
    f) 실리콘 코팅이 포토레지스트 패턴과 대략 동일한 두께를 가질 때까지, 실리콘 코팅을 건식 에칭하여 실리콘 코팅을 제거하는 단계; 및
    g) 건식 에칭하여 포토레지스트 및 하지층을 제거하여, 포토레지스트 패턴의 원래 위치 아래에 트렌치(trench)를 형성시키는 단계
    를 포함하는, 소자 상에 리버스 톤(reverse tone)을 형성시키는 방법.
  2. 제1항에 있어서, 경화 화합물은 2 이상의 아미노(NH2) 기를 포함하는 것인 형성 방법.
  3. 제1항 또는 제2항에 있어서, 기판을 건식 에칭하는 단계를 더 포함하는 것인 형성 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 단계 g)에서 건식 에칭은 동일한 가스 조성을 사용하여 포토레지스트 및 하지층을 하나의 연속 단계로 제거하는 것을 포함하는 것인 형성 방법.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서, 단계 g)에서 건식 에칭은 처음에 포토레지스트를 제거하는 단계 후 이어서 하지층을 제거하는 별도의 단계를 포함하는 것인 형성 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 경화 화합물은 하기 화학식 I의 구조를 갖는 것인 형성 방법:
    화학식 I
    Figure pct00008

    상기 화학식에서, W는 C1-C8 알킬렌이고, n은 1 내지 3이다.
  7. 제6항에 있어서, n은 1인 것인 형성 방법.
  8. 제6항 또는 제7항에 있어서, 경화 화합물은 1,2-디아미노에탄, 1,3-프로판디아민 및 1,5-디아미노-2-메틸펜탄에서 선택되는 것인 형성 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 포토레지스트 패턴의 처리 단계는 기화된 경화 화합물을 사용하여 수행하는 것인 형성 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 처리 단계는 가열 단계를 포함하는 것인 형성 방법.
  11. 제10항에 있어서, 처리 단계는 기화된 경화 화합물의 존재 하에 포토레지스트 패턴을 가열하는 것을 포함하는 것인 형성 방법.
  12. 제10항 또는 제11항에 있어서, 가열 단계는 약 80 내지 약 225℃의 범위에서 수행하는 것인 형성 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 하지층은 탄소 함량이 80 중량%를 초과하는 것인 형성 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 이미지 형성 방식 노광은 248 ㎚, 193 ㎚, 157 ㎚, EUV 및 e-빔에서 선택되는 것인 형성 방법.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 실리콘 코팅 조성물의 실리콘 중합체는 실세스퀴옥산 중합체인 것인 형성 방법.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 실리콘 코팅 조성물의 유기 용매는 또한 미처리된 포토레지스트 층에 대한 용매인 것인 형성 방법.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서, 단계 g)에서 실리콘 층을 제거하기 위한 건식 에칭 가스는 플루오르화탄소를 포함하는 것인 형성 방법.
  18. 제7항에 있어서, 플루오르화탄소는 CF4인 것인 형성 방법.
  19. 제1항 내지 제18항 중 어느 한 항에 있어서, 단계 f)에서 건식 에칭 가스는 산소를 포함하는 것인 형성 방법.
  20. 제1항 내지 제19항 중 어느 한 항의 형성 방법에 의해 얻어진 물품.
  21. 제1항 내지 제19항 중 어느 한 항에 따른 소자 상에 리버스 톤 상을 형성시키는 방법을 이용하여 얻어진 마이크로 전자공학 소자.
KR1020117002890A 2008-08-15 2009-03-30 리버스 톤 상의 형성을 위한 하드마스크 공정 KR20110043652A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/192,621 US20100040838A1 (en) 2008-08-15 2008-08-15 Hardmask Process for Forming a Reverse Tone Image
US12/192,621 2008-08-15

Publications (1)

Publication Number Publication Date
KR20110043652A true KR20110043652A (ko) 2011-04-27

Family

ID=40793010

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117002890A KR20110043652A (ko) 2008-08-15 2009-03-30 리버스 톤 상의 형성을 위한 하드마스크 공정

Country Status (7)

Country Link
US (1) US20100040838A1 (ko)
EP (1) EP2326991A1 (ko)
JP (1) JP2012500408A (ko)
KR (1) KR20110043652A (ko)
CN (1) CN102124413A (ko)
TW (1) TW201007386A (ko)
WO (1) WO2010018430A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150034122A (ko) * 2012-01-19 2015-04-02 브레우어 사이언스 인코포레이션 아다만틸 기를 포함하는 비폴리머성 반사 방지 조성물
US10345706B2 (en) 2013-06-26 2019-07-09 Cheil Industries, Inc. Monomer for hardmask composition and hardmask composition including the monomer and method of forming patterns using the hardmask composition

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5144127B2 (ja) * 2007-05-23 2013-02-13 キヤノン株式会社 ナノインプリント用のモールドの製造方法
KR20100030616A (ko) * 2007-06-15 2010-03-18 후지필름 가부시키가이샤 패턴 형성용 표면 처리제, 및 상기 처리제를 이용한 패턴 형성 방법
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
JP5101541B2 (ja) * 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
US20100159392A1 (en) * 2008-12-22 2010-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
KR101715343B1 (ko) * 2009-03-11 2017-03-14 주식회사 동진쎄미켐 반도체 소자의 미세 패턴 형성 방법
JP5112380B2 (ja) * 2009-04-24 2013-01-09 信越化学工業株式会社 パターン形成方法
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
US8304179B2 (en) * 2009-05-11 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device using a modified photosensitive layer
CN102439069B (zh) * 2009-07-23 2014-11-05 道康宁公司 用于反向图案化的方法和材料
WO2011011139A2 (en) * 2009-07-23 2011-01-27 Dow Corning Corporation Method and materials for reverse patterning
US8758987B2 (en) 2009-09-02 2014-06-24 Micron Technology, Inc. Methods of forming a reversed pattern in a substrate
JP5663959B2 (ja) * 2010-05-28 2015-02-04 Jsr株式会社 絶縁パターン形成方法及びダマシンプロセス用絶縁パターン形成材料
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US20140014621A1 (en) * 2012-07-16 2014-01-16 Zhaoning Yu Analysis of pattern features
WO2017150261A1 (ja) * 2016-02-29 2017-09-08 富士フイルム株式会社 パターン積層体の製造方法、反転パターンの製造方法およびパターン積層体
CN111033772B (zh) * 2017-08-22 2023-12-01 株式会社白山 热电材料以及热电模块
DE102019120605B4 (de) 2018-08-20 2022-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Speicherschaltung und verfahren zu deren herstellung
US11461525B2 (en) 2018-10-31 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. PUF cell array, system and method of manufacturing same
US11501969B2 (en) 2019-01-22 2022-11-15 International Business Machines Corporation Direct extreme ultraviolet lithography on hard mask with reverse tone
US10971362B2 (en) 2019-02-27 2021-04-06 International Business Machines Corporation Extreme ultraviolet patterning process with resist hardening
US11133195B2 (en) 2019-04-30 2021-09-28 International Business Machines Corporation Inverse tone pillar printing method using polymer brush grafts
KR20210001109A (ko) * 2019-06-26 2021-01-06 삼성전자주식회사 패턴 형성 방법과 집적회로 소자 및 그 제조 방법
US20210109451A1 (en) * 2019-10-11 2021-04-15 Merck Patent Gmbh Spin-on metal oxide materials of high etch resistance useful in image reversal technique and related semiconductor manufacturing processes
DE102021105465A1 (de) 2020-04-30 2021-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrierte schaltung, system und deren herstellungsverfahren
DE102021106180A1 (de) 2020-05-14 2021-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter schaltkreis, system, und verfahren zu seiner herstellung
US11296070B2 (en) 2020-06-12 2022-04-05 Taiwan Semiconductor Manufacturing Company Limited Integrated circuit with backside power rail and backside interconnect

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US253081A (en) * 1882-01-31 Clothes-rack
US253080A (en) * 1882-01-31 District-telegraph system
US64936A (en) * 1867-05-21 baeteam
US4151313A (en) * 1977-03-11 1979-04-24 Hitachi, Ltd. Method for production of printed circuits by electroless metal plating employing a solid solution of metal oxides of titanium, nickel, and antimony as a masking material
US4491628A (en) * 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US4770974A (en) * 1986-09-18 1988-09-13 International Business Machines Corporation Microlithographic resist containing poly(1,1-dialkylsilazane)
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
DE69125634T2 (de) * 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
JPH05205989A (ja) * 1992-01-28 1993-08-13 Hitachi Ltd リソグラフィ法及び半導体装置の製造方法
DE59310074D1 (de) * 1992-07-09 2000-08-17 Ciba Sc Holding Ag Härtbare Suspensionen auf Basis von Epoxidharzen
JP2790163B2 (ja) * 1993-07-29 1998-08-27 富士通株式会社 シリコン酸化膜の形成方法、半導体装置の製造方法及びフラットディスプレイ装置の製造方法
US5780569A (en) * 1994-11-07 1998-07-14 The United States Of America As Represented By The Secretary Of The Navy Linear carborane-(siloxane or silane)-acetylene based copolymers
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
US5843624A (en) * 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
TW329539B (en) * 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
US5863707A (en) * 1997-02-11 1999-01-26 Advanced Micro Devices, Inc. Method for producing ultra-fine interconnection features
US6468718B1 (en) * 1999-02-04 2002-10-22 Clariant Finance (Bvi) Limited Radiation absorbing polymer, composition for radiation absorbing coating, radiation absorbing coating and application thereof as anti-reflective coating
US6849377B2 (en) * 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6221562B1 (en) * 1998-11-13 2001-04-24 International Business Machines Corporation Resist image reversal by means of spun-on-glass
US6114085A (en) * 1998-11-18 2000-09-05 Clariant Finance (Bvi) Limited Antireflective composition for a deep ultraviolet photoresist
US6924339B2 (en) * 1999-03-12 2005-08-02 Arch Specialty Chemicals, Inc. Thermally cured underlayer for lithographic application
KR100310252B1 (ko) * 1999-06-22 2001-11-14 박종섭 유기 반사방지 중합체 및 그의 제조방법
JP4831909B2 (ja) * 1999-11-30 2011-12-07 ブルーワー サイエンス アイ エヌ シー. 反射防止ポリマーコーティングに使用する非芳香族発色団
KR100576201B1 (ko) * 2000-01-17 2006-05-03 신에쓰 가가꾸 고교 가부시끼가이샤 화학 증폭형 레지스트 재료
US6686124B1 (en) * 2000-03-14 2004-02-03 International Business Machines Corporation Multifunctional polymeric materials and use thereof
US7053005B2 (en) * 2000-05-02 2006-05-30 Samsung Electronics Co., Ltd. Method of forming a silicon oxide layer in a semiconductor manufacturing process
KR100362834B1 (ko) * 2000-05-02 2002-11-29 삼성전자 주식회사 반도체 장치의 산화막 형성 방법 및 이에 의하여 제조된 반도체 장치
US6447980B1 (en) * 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
KR100929042B1 (ko) * 2000-08-17 2009-11-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 내에칭성 반사방지 코팅 조성물
US20020155389A1 (en) * 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
US6773872B2 (en) * 2000-12-29 2004-08-10 Shipley Company, L.L.C. Reduction of inorganic contaminants in polymers and photoresist compositions comprising same
CN1221861C (zh) * 2001-02-09 2005-10-05 旭硝子株式会社 光致抗蚀剂组合物
US6303524B1 (en) * 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6927266B2 (en) * 2001-02-22 2005-08-09 Nissan Chemical Industries, Ltd. Bottom anti-reflective coat forming composition for lithography
KR100419962B1 (ko) * 2001-03-07 2004-03-03 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
JP3912288B2 (ja) * 2001-03-21 2007-05-09 ダイキン工業株式会社 無機・有機複合材料からなる表面処理剤
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
KR100399642B1 (ko) * 2001-10-24 2003-09-29 삼성에스디아이 주식회사 리튬 이차 전지용 양극 활물질 및 그 제조방법
US6723488B2 (en) * 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US6780569B1 (en) * 2002-02-04 2004-08-24 Lam Research Corporation Post-development treatment of patterned photoresist to promote cross-linking of polymer chains
US6894104B2 (en) * 2002-05-23 2005-05-17 Brewer Science Inc. Anti-reflective coatings and dual damascene fill compositions comprising styrene-allyl alcohol copolymers
JP2004101849A (ja) * 2002-09-09 2004-04-02 Mitsubishi Gas Chem Co Inc 洗浄剤組成物
US7323289B2 (en) * 2002-10-08 2008-01-29 Brewer Science Inc. Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties
JP2004179254A (ja) * 2002-11-25 2004-06-24 Renesas Technology Corp 半導体装置の製造方法
KR100503527B1 (ko) * 2003-02-12 2005-07-26 삼성전자주식회사 퍼하이드로 폴리실라잔을 포함하는 반도체 소자 제조용조성물 및 이를 이용한 반도체 소자의 제조방법
KR100645458B1 (ko) * 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
JP4491283B2 (ja) * 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
JP4688882B2 (ja) * 2004-12-17 2011-05-25 ダウ・コーニング・コーポレイション 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法及び電子デバイスの製造方法
KR100674967B1 (ko) * 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
US7521170B2 (en) * 2005-07-12 2009-04-21 Az Electronic Materials Usa Corp. Photoactive compounds
US8153350B2 (en) * 2005-08-24 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and material for forming high etch resistant double exposure patterns
KR100688570B1 (ko) * 2005-08-31 2007-03-02 삼성전자주식회사 식각 마스크 패턴 형성용 코팅 조성물 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US7528200B2 (en) * 2006-02-01 2009-05-05 Ardes Enterprises, Inc. Epoxy hardener systems based on aminobis(methylene-ethyleneurea)
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
JP4869811B2 (ja) * 2006-07-19 2012-02-08 東京応化工業株式会社 微細パターンの形成方法
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法
CN101542390A (zh) * 2006-11-14 2009-09-23 Nxp股份有限公司 用以增大特征空间密度的两次形成图案的光刻技术
US20080199814A1 (en) * 2006-12-06 2008-08-21 Fujifilm Electronic Materials, U.S.A., Inc. Device manufacturing process utilizing a double patterning process
US20080160459A1 (en) * 2006-12-28 2008-07-03 Benjamin Szu-Min Lin Method of forming a pattern
KR100876783B1 (ko) * 2007-01-05 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7923200B2 (en) * 2007-04-09 2011-04-12 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern comprising a lactam
JP5069494B2 (ja) * 2007-05-01 2012-11-07 AzエレクトロニックマテリアルズIp株式会社 微細化パターン形成用水溶性樹脂組成物およびこれを用いた微細パターン形成方法
US8017296B2 (en) * 2007-05-22 2011-09-13 Az Electronic Materials Usa Corp. Antireflective coating composition comprising fused aromatic rings
US7758981B2 (en) * 2007-07-25 2010-07-20 Hitachi Global Storage Technologies Netherlands B.V. Method for making a master disk for nanoimprinting patterned magnetic recording disks, master disk made by the method, and disk imprinted by the master disk
US20090042148A1 (en) * 2007-08-06 2009-02-12 Munirathna Padmanaban Photoresist Composition for Deep UV and Process Thereof
US8313571B2 (en) * 2007-09-21 2012-11-20 Microchem Corp. Compositions and processes for manufacturing printed electronics
US7935477B2 (en) * 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
US7989144B2 (en) * 2008-04-01 2011-08-02 Az Electronic Materials Usa Corp Antireflective coating composition
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US7981592B2 (en) * 2008-04-11 2011-07-19 Sandisk 3D Llc Double patterning method
US7932018B2 (en) * 2008-05-06 2011-04-26 Az Electronic Materials Usa Corp. Antireflective coating composition
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150034122A (ko) * 2012-01-19 2015-04-02 브레우어 사이언스 인코포레이션 아다만틸 기를 포함하는 비폴리머성 반사 방지 조성물
US10345706B2 (en) 2013-06-26 2019-07-09 Cheil Industries, Inc. Monomer for hardmask composition and hardmask composition including the monomer and method of forming patterns using the hardmask composition

Also Published As

Publication number Publication date
WO2010018430A8 (en) 2010-04-15
JP2012500408A (ja) 2012-01-05
US20100040838A1 (en) 2010-02-18
WO2010018430A1 (en) 2010-02-18
CN102124413A (zh) 2011-07-13
EP2326991A1 (en) 2011-06-01
TW201007386A (en) 2010-02-16

Similar Documents

Publication Publication Date Title
KR20110043652A (ko) 리버스 톤 상의 형성을 위한 하드마스크 공정
KR101628423B1 (ko) 폴리실라잔을 사용하는 리버스 톤 상의 형성을 위한 하드마스크 공정
JP5327217B2 (ja) 縮合芳香族環を含む反射防止膜組成物
US7989144B2 (en) Antireflective coating composition
KR101824763B1 (ko) 하층 조성물 및 이의 방법
KR20110013374A (ko) 반사방지 코팅 조성물
KR101820195B1 (ko) 반사방지 코팅 조성물 및 이의 방법
JP6430954B2 (ja) 安定な金属化合物、その組成物、およびその使用方法
US20090253080A1 (en) Photoresist Image-Forming Process Using Double Patterning
KR20100135775A (ko) 패턴 경화 단계를 포함하는 포토레지스트 패턴 사이의 치수를 축소시키는 방법
KR20090058528A (ko) 반사 방지 코팅 조성물
KR20110084900A (ko) 융합 방향족 고리를 포함하는 반사방지 코팅 조성물
KR20110084901A (ko) 융합 방향족 고리를 포함하는 반사방지 코팅 조성물
KR101420460B1 (ko) 반사 방지 코팅 조성물

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid