KR101824763B1 - 하층 조성물 및 이의 방법 - Google Patents

하층 조성물 및 이의 방법 Download PDF

Info

Publication number
KR101824763B1
KR101824763B1 KR1020137032152A KR20137032152A KR101824763B1 KR 101824763 B1 KR101824763 B1 KR 101824763B1 KR 1020137032152 A KR1020137032152 A KR 1020137032152A KR 20137032152 A KR20137032152 A KR 20137032152A KR 101824763 B1 KR101824763 B1 KR 101824763B1
Authority
KR
South Korea
Prior art keywords
group
polymer
alkyl
mixed
independently selected
Prior art date
Application number
KR1020137032152A
Other languages
English (en)
Other versions
KR20140056178A (ko
Inventor
후이롱 야오
구아냥 린
자카리 보구즈
핑훙 루
우규 김
마크 오. 네이서
Original Assignee
메르크 파텐트 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 메르크 파텐트 게엠베하 filed Critical 메르크 파텐트 게엠베하
Publication of KR20140056178A publication Critical patent/KR20140056178A/ko
Application granted granted Critical
Publication of KR101824763B1 publication Critical patent/KR101824763B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L101/00Compositions of unspecified macromolecular compounds
    • C08L101/02Compositions of unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups
    • C08L101/06Compositions of unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups containing oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0091Complexes with metal-heteroatom-bonds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/56Organo-metallic compounds, i.e. organic compounds containing a metal-to-carbon bond
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L37/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a heterocyclic ring containing oxygen; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Materials For Photolithography (AREA)
  • Paints Or Removers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Epoxy Resins (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

본 발명은 중합체, 유기 티탄산염 화합물 및 임의적으로 열산 발생제(thermal acid generator)를 포함하는 하층 조성물로서, 상기 중합체는 하나 이상의 플루오로알코올 기 및 하나 이상의 에폭시 기를 포함하는 것인 하층 조성물에 관한 것이다. 본 발명은 또한 상기 하층 물질을 반사방지 코팅 조성물 및/또는 패턴 전사용 하드 마스크로서 이용하는 공정에 관한 것이다.

Description

하층 조성물 및 이의 방법{AN UNDERLAYER COMPOSITION AND PROCESS THEREOF}
본 발명은 포토리소그래픽 공정용 스핀-온(spin-on) 금속 함유 하층의 조성물, 및 그 층을 이용하는 이미지화(imaging) 공정에 관한 것이다.
포토레지스트 조성물은 소형화된 전자 부품을 제조하기 위한 마이크로리소그래피 공정에, 예컨대 컴퓨터 칩 및 집적 회로의 제조에 사용된다. 일반적으로, 이러한 공정에서는, 포토레지스트 조성물 필름의 얇은 코팅을 먼저 기판 물질, 예컨대 집적 회로의 제조에 사용되는 규소계 웨이퍼에 도포한다. 이어서, 코팅된 기판을 소성하여, 포토레지스트 조성물 내의 임의의 용매를 증발시키고, 코팅을 기판 상에 고정시킨다. 그 다음, 소성되는 코팅된 기판 표면을 방사선에 결상 방식으로(imagewise) 노광한다.
이러한 방사선 노광은 코팅된 표면의 노광 부분에 화학적 변형을 유발한다. 가시광선, 자외선(UV) 광, 전자빔 및 X-선 방사 에너지는 최근 마이크로리소그래피 공정에 통상적으로 사용되는 방사선 유형이다. 이러한 결상 방식의 노광 후, 코팅된 기판을 현상액으로 처리하여, 포토레지스트의 방사선 노광 또는 비노광 부분을 용해 및 제거한다.
반도체 디바이스가 소형화되는 추세는 점점 더 낮은 방사선의 파장에 민감한 신규 포토레지스트의 사용을 유도하였고, 그러한 소형화와 관련된 난점을 극복하기 위해 정교한 다단계 시스템의 사용을 유도하였다.
포토리소그래피에서 흡수성 반사방지 코팅(antiflective coating) 및 하층(underlayer)은 고반사 기판으로부터의 광의 역반사로부터 기인하는 문제점을 감소시키는 데 사용된다. 역반사성의 두 가지 주요 단점은 박막 간섭 효과 및 반사성 노칭(reflective notching)이다. 박막 간섭, 또는 정상파는 포토레지스트의 두께가 변화함에 따라 결과적으로 포토레지스트 막에서 전체 광 강도의 변동에 의해 유발된 임계 선폭 치수(critical line width dimension)의 변화를 발생시키거나, 반사 및 입사 노광 방사선의 간섭은 포토레지스트의 두께에 걸쳐 방사선의 균일성을 왜곡하는 정상파 효과를 야기할 수 있다. 반사성 노칭은 포토레지스트가 포토레지스트 막에 걸쳐 광을 산란시키는 지형적 피처(topographical feature)를 함유하는 반사성 기판 위에 패턴화되면서 심각해지는데, 이는 선폭 변동을 일으키고, 극단적인 경우에는, 포토레지스트가 완전히 소실되는 영역을 형성한다. 포토레지스트의 아래에 그리고 반사 기판의 위에 코팅된 반사방지 코팅은 포토레지스트의 리소그래피 성능의 유의적인 향상을 제공한다. 전형적으로, 기판 상에 하부 반사방지 코팅을 도포시킨 후 반사방지 코팅의 상부 상에 포토레지스트 층을 도포시킨다. 반사방지 코팅과 포토레지스트 간의 혼합(intermixing)을 방지하기 위해, 반사방지 코팅을 경화시킨다. 이 포토레지스트를 결상 방식으로 노광하고 현상시킨다. 이어서, 노광 부분의 반사방지 코팅은 다양한 에칭 기체를 이용하여 건식 에칭하고, 이에 따라 포토레지스트 패턴을 기판으로 전사한다.
다량의 내화성 원소, 예컨대 티탄 또는 규소를 함유하는 하층은 또한 오버레이 포토레지스트에 의해 패턴화된 후 하드 마스크로도 사용될 수 있다. 그러한 하드 마스크는, 오버레이 포토레지스트가 매우 얇고 이미지를 기저 반도체 기판으로 전사하는 데 필요한 충분히 높은 건식 에칭 내성을 제공할 수 없을 때 유용하다. 그러한 환경에서, 위에 형성된 임의의 패턴을 기저 반도체 기판에 전사하기에 충분히 높은 에칭 내성을 가지는 하드 마스크라고 불리는 무기 물질로 구성된 코팅 상에 포토레지스트를 코팅하는 것이 유용하다. 이것이 가능한 이유는, 유기 레지스트가 기저 하드 마스크와 상이하고, 레지스트 내의 이미지가 기저 하드 마스크 내로 전사하도록 하는 에칭 기체 혼합물을 찾는 것이 가능하기 때문이다. 이어서, 이 패턴화된 하드 마스크는 하드 마스크로부터의 이미지를 반도체 기판 내로 전사하기 위해 적절한 에칭 조건 및 기체 혼합물을 이용하여 사용될 수 있으며, 이는 단일의 에칭 공정을 이용하여 레지스트 단독으로는 달성될 수 없었던 과제이다. 다중 반사방지 층 및 하층은 신규 리소그래픽 기법에 사용되고 있다. 포토레지스트가 충분한 건식 에칭 내성을 제공하지 않는 경우, 하드 마스크로서 작용하고 기판 에칭 중에 높은 에칭 내성을 가지는, 포토레지스트용 하층 및/또는 반사방지 코팅이 바람직하고, 한 접근법은 규소 또는 티탄을 유기 포토레지스트 층 아래의 층에 혼입하는 것이었다. 부가적으로, 또 다른 고탄소 함량의 반사방지 또는 마스크 층을 규소 또는 티탄 반사방지 층 아래에 두어, 그러한 고탄소 필름/하드마스크 필름/포토레지스트의 삼중층을 사용하여, 이미지화 공정의 리소그래픽 성능을 향상시킨다. 통상적 하드 마스크는 화학적 증착, 스퍼터링에 의해 적용될 수 있다. 그러나, 상기 통상적 접근법 대비, 스핀 코팅의 상대적 단순성으로 인해, 필름 내 티탄 농도가 높은, 신규 스핀-온 하드 마스크의 개발이 매우 바람직해진다.
본 발명은 신규 티탄 조성물 및 공정에 관한 것이다. 신규 유기 티탄산염계 하층은 조성물 내에 존재하는 티탄 화합물의 양 및 사용된 경화 공정에 따라, 필름 내에 충분한 티탄을 가진다. 하층 코팅은 광범위의 티탄 농도 및 광학 지수에 걸쳐 캐스팅 용매 및 수성 알칼리성 현상제 모두에 대한 내성이 양호하다. 하층 코팅의 코팅 및 가교 품질은 통상적 비금속성 유기 기저 반사방지 코팅에 적어도 필적한다. 신규 하층 조성물은 또한 장기 저장에 대한 안정성도 양호하다.
본 발명은 티탄 함유 하층에 유용한 스핀-온 코팅 물질에 관한 것이다. 조성물은 장기 저장 수명 안정성을 가진다. 신규 조성물의 필름은 우수한 건식 에칭 내성을 가지고, 다수의 공정, 예컨대 삼중층 이미지화 공정에서 규소 하층을 대체하는 하드 마스크로서 사용될 수 있다. 하층 코팅은 극자외선을 흡수할 수 있고, 스핀-온 무기 또는 하이브리드 반사방지 코팅으로서 사용되어, 극자외선에 노광 시에 기판 반사율을 조절할 수 있다. 신규 조성물은 또한 극자외선(EUV) 리소그래피에서 하층으로서 이용되어, 감광성을 유의하게 향상시킬 수 있고, 이는 전반적 EUV 포토레지스트 성능을 향상시키는 것을 돕는다. 부가적으로, 상기 신규 조성물은 다수의 다른 용도들을 위해 사용될 수 있는 스핀 코팅가능하고 가교된 티탄 코팅을 형성시킬 수 있다.
발명의 개요
본 발명은 중합체, 유기 티탄산염 화합물 및 임의적으로 열산 발생제(thermal acid generator)를 포함하는 하층 조성물로서, 중합체는 하나 이상의 플루오로알코올 기 및 하나 이상의 에폭시 기를 포함하는 것인 하층 조성물에 관한 것이다. 본 발명은 또한 상기 하층 물질을 반사방지 코팅 조성물 및/또는 패턴 전사용 하드 마스크로서 사용하기 위한 공정에 관한 것이다.
도면의 간단한 설명
도 1은 구조식(1)의 단위의 예를 도시한다.
도 2는 중합체의 예를 도시한다.
도 3은 유기 티탄산염 화합물의 예를 도시한다.
발명에 대한 설명
본 발명은 유기 중합체 및 유기 티탄산염 화합물을 포함하는 신규 하층 조성물로서, 유기 중합체는 하나 이상의 플루오로알코올 기 및 하나 이상의 에폭시 기를 포함하는 것인 신규 하층 조성물에 관한 것이다. 중합체는 플루오로알코올 기를 지닌 하나 이상의 단위 및 에폭시 기를 지닌 하나 이상의 단위를 포함할 수 있디. 조성물은 열산 발생제를 추가로 포함할 수 있다. 중합체는 자가-가교가능하여 가교제가 없을 수 있다. 본 발명은 또한 이미지의 형성 공정으로서, 신규 조성물이 포토레지스트 필름 하에 코팅된 층을 형성하는 것인 공정에 관한 것이다.
신규 조성물의 유기 중합체는 하기 구조식 (1)의 플루오로알코올 기를 지닌 단위 및 하기 구조식(2)의 에폭시 기를 지닌 단위를 포함할 수 있다:
Figure 112013110901673-pct00001
(1)
Figure 112013110901673-pct00002
(2)
식 중에서, R1 내지 R6는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, W는 2가의 연결기(예컨대, 아릴렌, 카르보닐옥시(-C(=O)-O-) 및 카르보닐옥시알킬렌(-C(=O)-O-W3-)(여기서, W3은 C1 내지 C20 알킬렌 부분, 전체 불소화 (C1-C20)알킬렌 기 및 부분 불소화 (C1-C20)알킬렌 기로부터 선택됨)이며, Rf1 및 Rf2는 전체 또는 부분 불소화 (C1-C6) 알킬 기로부터 독립적으로 선택되고, W1은 아릴렌 연결기, 카르보닐(-(C=O)) 연결기 및 카르보닐옥시알킬렌 연결기(-C(=O)-O-W4-)(여기서, W4은 C1 내지 C6 알킬렌 부분, 불소화 (C1-C6) 알킬렌 기 및 부분 불소화 (C1-C6) 알킬렌 기로 이루어진 군으로부터 선택됨)로부터 선택되며, W2는 (C1-C6) 알킬렌 부분임). 중합체는 구조식 1 및 구조식 2를 가지는 단량체 단위만을 포함할 수 있거나, 임의적으로는 다른 단량체 단위도 포함할 수 있다. W3 및 W4의 구체예는 독립적으로 메틸렌, 에틸렌, 프로필렌, 이소프로필렌, 및 1-헥실에틸렌, 펜타플루오로프로필렌, 2,2,2-트리플루오로에틸렌 및 헵타플루오로부틸렌이다. Rf1 및 Rf2의 구체예는 트리플루오로메틸, 펜타플루오로프로필, 2,2,2-트리플루오로에틸 및 헵타플루오로부틸이다. W2의 구체예는 메틸렌, 에틸렌, 프로필렌, 이소프로필렌이다. 단위(1)의 구체예는 도 1에 나와 있다.
유기 중합체는 구조식 (1) 및 (2)의 단위를 포함할 수 있고, 부가적으로 임의적 반복 단위(3)을 포함할 수 있다:
Figure 112013110901673-pct00003
(3)
식 중에서, R7 내지 R9는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, W5는 직접 원자가 결합, 아릴렌 기, 에스테르(C(=O)-O-R14) 기, C1-C20 알킬렌 기 및 이들의 혼합물로부터 선택되고, 여기서 R14은 C1-C20 알킬렌, C1-C20 알킬렌아릴렌, 아릴렌 및 치환된 아릴렌으로부터 선택됨). 단위(3)을 수득하는 데 사용되는 단량체의 구체예는 2-히드록시에틸메타크릴레이트, 2-히드록시프로필메타크릴레이트, 2-히드록시부틸메타크릴레이트 및 히드록시스티렌이다.
하층 유기 중합체는 구조식 1 및 2의 단위, 임의적으로는 구조식(3)의 단위를 포함할 수 있고, 부가적으로 임의적 반복 단위(4)를 포함할 수 있으며, 여기서 R10 내지 R12는 수소, 니트릴(CN) 및 C1-C4 알킬로부터 독립적으로 선택되고, R13은 아릴 기 또는 에스테르(-C(=O)-O-R15) 기(여기서, R15는 C1-C20 알킬, C1-C20 알킬렌아릴, 아릴, 치환된 아릴, 불소화 알킬 기, 부분 불소화 알킬 기 또는 이들의 혼합물로 이루어진 군으로부터 선택됨)이다. 다른 단량체 단위가 또한 유기 중합체에 존재할 수 있다. 단위(4)를 수득하는 데 사용되는 단량체의 구체예는 스티렌, 벤질메타크릴레이트, 부틸메타크릴레이트, 2-에틸헥실 메타크릴레이트, 벤질아크릴레이트 및 부틸아크릴레이트이다.
Figure 112013110901673-pct00004
(4)
신규 하층 조성물에서, 중합체는 10 내지 90 몰% 범위의 플루오로알코올 기 및 0 내지 90 몰% 범위의 에폭시 기를 포함한다.
구조식 1 및 2의 단위만이 존재하는 중합체의 실시양태에서, 단위(1)는 약 10 내지 80 몰% 또는 20 내지 60 몰%의 범위일 수 있고; 한편 반복 단위(2)는 약 20 내지 90 몰% 또는 약 30 내지 70 몰%의 범위일 수 있다. 구조식 1, 2 및 3 또는 4를 가지는 반복 단위의 3가지 이상의 유형이 존재하는 중합체의 실시양태에서, 단위 1은 약 10 내지 70 몰% 또는 약 20 내지 50 몰%의 범위일 수 있고, 반복 단위 2는 약 10 내지 70 몰% 또는 약 20 내지 50 몰%의 범위일 수 있으며, 반복 단위 3 또는 4는 약 1 내지 50 몰% 또는 약 5 내지 40 몰%의 범위일 수 있다. 구조식 1, 2, 3 및 4를 가지는 반복 단위의 4가지 이상의 유형이 존재하는 실시양태에서, 단위 1은 10 내지 70 몰% 또는 20 내지 50 몰%의 범위일 수 있고, 반복 단위 2는 10 내지 70 몰% 또는 약 20 내지 50 몰%의 범위일 수 있으며, 반복 단위 3은 약 1 내지 40 몰% 또는 약 5 내지 30 몰%의 범위일 수 있고, 반복 단위 4는 약 1 내지 30 몰% 또는 약 5 내지 25 몰%의 범위일 수 있다.
본 발명에 유용한 유기 중합체의 비제한적 구체예가 도 2(여기서, x, y, z 및 m는 반복 단위의 몰%임)에 나와 있다.
본 신규 발명의 유기 티탄산염은 공유 결합된 티탄을 포함하는 유기 화합물이다. 티탄산염은 n-알콕시티탄산염(5), sec-알콕시티탄산염(5), tert-알콕시티탄산염(5), 티탄산염 에스테르(5) 디알콕시 비스(베타-디케토에스테르) 티탄산염(6), 디알콕시 비스(베타-디케톤) 티탄산염(7), 중합체 알콕시 또는 에스테르 티탄산염(8), 베타-디케토에스테르 기초의 중합체 티탄산염(9) 및 베타디케톤 기초의 중합체 티탄산염(10)으로 이루어진 군으로부터 선택될 수 있고, 여기서, n= 2-10이고, R15, R'15, R"15 및 R'"15는 C1-C20 알킬 및 C1-C20 알킬 카르보닐로부터 독립적으로 선택되고, R16 및 R17는 C1-C20 알킬 및 아릴 기로부터 독립적으로 선택된다.
Figure 112013110901673-pct00005
(5)
Figure 112013110901673-pct00006
(6)
Figure 112013110901673-pct00007
(7)
Figure 112013110901673-pct00008
(8)
Figure 112013110901673-pct00009
(9)
Figure 112013110901673-pct00010
(10)
R15, R'15, R"15 및 R'"15의 구체예는 에틸, 이소프로필, n-부틸, 메틸카르보닐, 부틸카르보닐, 도데실카르보닐 등이다. R16 및 R17의 구체예는 메틸, 에틸, 부틸, 페닐, 벤질, 트리플루오로메틸 등이다. n의 구체예는 6, 7 또는 8이다. 티탄산염 유도체의 비제한적 구체예가 도 3(여기서, n= 2-10임)에 나와 있다.
알킬은 바람직한 수의 탄소 원자 및 원자가를 가지는 직쇄 또는 분지쇄 알킬을 의미한다. 알킬 기는 일반적으로 지방족이고, 고리형 또는 비고리형(즉, 무고리형)일 수 있다. 적당한 비고리형 기는 메틸, 에틸, n- 또는 이소-프로필, n-, 이소, 또는 tert-부틸, 직쇄 또는 분지쇄 펜틸, 헥실, 헵틸, 옥틸, 데실, 도데실, 테트라데실 및 헥사데실일 수 있다. 달리 언급되지 않는 한, 알킬은 1 내지 10개의 탄소 원자 부분을 지칭한다. 고리형 알킬 기는 단일 고리형 또는 다중 고리형일 수 있다. 단일고리형 알킬 기의 적당한 예에는 치환된 시클로펜틸, 시클로헥실, 및 시클로헵틸 기가 포함된다. 치환기는 본원에 기재된 비고리형 알킬 기들 중 임의의 것일 수 있다. 적당한 이고리형 알킬 기에는 치환된 비시클로[2.2.1]헵탄, 비시클로[2.2.2]옥탄, 비시클로[3.2.1]옥탄, 비시클로[3.2.2]노난, 및 비시클로[3.3.2]이 등이 포함된다. 삼고리형 알킬 기의 예에는 트리시클로[5.4.0.0.2'9]운데칸, 트리시클로[4.2.1.2.7'9]운데칸, 트리시클로[5.3.2.0.4,9]도데칸, 및 트리시클로[5.2.1.0.2,6]데칸이 포함된다. 본원에 언급된 바와 같이, 고리형 알킬 기는 치환기로서 비고리형 알킬 기들 중 임의의 것을 가질 수 있다. 유사하게, 퍼플루오로알킬은 수소 원자가 불소로 치환된, 상기 기재된 알킬 기를 의미한다. 유사하게, 부분 불소화 알킬 기는 상기 기재된 바와 같으나, 수소 원자의 일부가 불소로 치환된 것이다
알킬렌 기는 상기 언급된 알킬 기들 중 임의의 것으로부터 유도된 2가의 알킬 기이다. 알킬렌 기를 지칭하는 경우, 이에는 알킬렌 기의 주요 탄소 사슬 내 (C1-C18) 알킬 기로 치환된 알킬렌 사슬이 포함된다. 알킬렌 기는 또한 알킬렌 부분 내 하나 이상의 알킨 기(여기서, 알킨은 삼중 결합을 지칭함)도 포함할 수 있다. 본질적으로, 알킬렌은 골격으로서의 2가의 탄화수소 기이다. 따라서, 2가의 비고리형 기는 메틸렌, 1,1- 또는 1,2-에틸렌, 1,1 -, 1,2-, 또는 1,3 프로필렌, 2,5-디메틸-헥센, 2,5-디메틸-헥스-3-인 등일 수 있다. 유사하게, 2가의 고리형 알킬 기는 1,2- 또는 1,3-시클로펜틸렌, 1,2-, 1,3-, 또는 1,4-시클로헥실렌 등일 수 있다. 2가의 트리시클로 알킬 기는 상기 언급된 트리고리형 알킬 기들 중 임의의 것일 수 있다. 트리고리형 알킬 기의 한 예는 4,8-비스(메틸렌)-트리시클로[5.2.1.0.2,6]데칸이다. 퍼플루오로알킬렌을 지칭하는 경우, 이는 알킬렌 기로서 상기 기재된 물질로서, 수소 원자가 불소로 치환된 물질을 포함한다. 유사하게, 부분 불소화 알킬렌 기를 기술하는 경우, 이는 수소 원자의 부분이 불소로 치환된 알킬렌 기이다.
알콕시는 탄소수 1 내지 10의 직쇄 또는 분지쇄 알콕시를 의미하고, 이에는 예를 들어 메톡시, 에톡시, n-프로폭시, 이소프로폭시, n-부톡시, 이소부톡시, tert-부톡시, 펜틸옥시, 헥실옥시, 헵틸옥시, 옥틸옥시, 노나닐옥시, 데카닐옥시, 4-메틸헥실옥시, 2-프로필헵틸옥시 및 2-에틸옥틸옥시가 포함된다.
아릴 기는 탄소수가 6 내지 24이고, 이에는 페닐, 톨릴, 자일릴, 나프틸, 안트라실, 비페닐, 비스-페닐, 트리스-페닐 등이 포함된다. 이 아릴 기는 적절한 치환기, 예를 들어 상기 언급된 알킬, 알콕시, 아실 또는 아릴 기들 중 임의의 것으로 추가로 치환될 수 있다. 유사하게, 필요에 따라, 적절한 다가의 아릴 기가 본 발명에 사용될 수 있다. 2가의 아릴 기의 대표적 예인 아릴렌에는 페닐렌, 자일릴렌, 나프틸렌, 비페닐렌 등이 포함된다.
(메트)아크릴레이트라는 용어는 메타크릴레이트 또는 아크릴레이트를 지칭하고, 유사하게 (메트)아크릴성이란 메타크릴성 또는 아크릴성을 지칭한다.
본 발명의 중합체는 촉매를 이용하여 중합, 예컨대 유리 라디칼 중합의 임의의 공지 방법을 이용하여 합성될 수 있다. 중합체는 용액 중합, 유화 중합, 벌크 중합, 현탁액 중합 등을 이용하여 합성될 수 있다. 본 발명의 중합체는 중합되어, 중량 평균 분자량이 약 1,000 내지 약 80,000, 바람직하게는 약 2,000 내지 약 40,000인 중합체를 제공한다. 유리-라디칼 중합체의 다분산도(Mw/Mn)(여기서, Mw는 중량 평균 분자량이고, Mn는 수 평균 분자량임)는 1.0 내지 10.0 범위일 수 있고, 여기서 중합체의 분자량은 겔 투과 크로마토그래피에 의해 결정될 수 있다. 본 신규 조성물의 중합체는 약 10 내지 90 몰% 범위의 구조식(1), 약 10 내지 90 몰% 범위의 구조식(2), 및 약 1 내지 50 몰%의 구조식(3)을 포함할 수 있다. 다른 단위가 존재하는 경우, 그것은 약 1 내지 40 몰%, 또는 약 1 내지 25 몰% 범위일 수 있다.
총 고형분 기준으로 한 유기 중합체 및 티탄산염 화합물의 농도는 최종 하층 필름에 필요한 티탄 함량에 따라 변할 수 있다. 조성물 내의 티탄의 농도 및 경화 공정으로, 경화된 필름의 최종 티탄 함량이 결정될 수 있다. 신규 조성물 내의 유기 중합체의 농도는 총 고형분 기준으로 약 0.1 중량% 내지 약 90 중량%에서 변화할 수 있고; 티탄산염 화합물의 농도는 총 고형분 기준으로 약 10 중량% 내지 약 99.9 중량%에서 변화할 수 있다. 비중합체 티탄산염에 대한 한 예로서, 농도는 총 고형분의 약 10 중량% 내지 약 50 중량% 범위일 수 있다. 중합체/올리고머 티탄산염의 경우, 농도는 총 고형분의 약 10 중량% 내지 약 99.9 중량% 범위 또는 50 내지 97%일 수 있다. 비중합체 및 중합체 티탄산염의 혼합물도 또한 사용될 수 있다.
경화된 필름의 티탄 함량은 원소 분석에 의해 측정 시에, 205℃에서 경화한 후, 약 3 내지 60 중량% 또는 약 5 내지 60 중량% 또는 약 10 내지 50 중량% 범위일 수 있다. 경화 조건으로, 필름의 티탄 함량이 결정되고, 경화 온도가 높고/높거나 경화 시간이 길수록, 티탄 함량이 높다.
하층이 반사방지 층으로도 작용할 수 있고, 즉 충분한 발색단 기가 존재하는 본 발명의 실시양태에서, 하층의 굴절률, n(굴절률)은 굴절률에 대해 약 1.5 내지 약 1.9 범위일 수 있고, k(흡수율)는 193 nm 노광 파장에서 약 0.1 내지 약 0.6일 수 있다. 신규 필름은 이 파장에서의 반사방지 코팅으로서, 또한 하드 마스크로서 유용하다. n 값 및 k 값은 타원편광분석기(ellipsometer), 예컨대 J. A. 울람(Woollam) WVASE VU-32™ 타원편광분석기를 사용하여 계산될 수 있다. k 및 n에 대한 최적 범위의 정확한 값은 사용되는 노광 파장, 및 도포 유형에 따라 달라진다. 전형적으로, 193 nm의 경우, k에 대한 바람직한 범위는 약 0.1 내지 약 0.6이고, 248 nm의 경우, k에 대한 바람직한 범위는 약 0.15 내지 약 0.8이다.
본 발명의 하층 코팅 조성물은 용액 중 총 고형분의 1 중량% 내지 약 50 중량%을 함유할 수 있거나, 용액 내 총 고형분의 2 중량% 내지 30 중량%을 함유할 수 있다. 하층 코팅 조성물의 고체 성분은 반사방지 코팅의 고체 성분을 용해하는 용매 또는 용매 혼합물과 혼합된다. 하층 코팅 조성물에 적당한 용매에는, 예를 들어 저급 알코올(C1-C6), 예컨대 이소프로판올, n-부탄올, t-부탄올, 1-펜탄올 및 4-메틸-2-펜탄올, 글리콜, 예컨대 에틸렌 글리콜 및 프로필렌 글리콜, 글리콜 에테르 유도체, 예컨대 에틸 셀로솔브, 메틸 셀로솔브, 프로필렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 또는 디에틸렌 글리콜 디메틸 에테르; 글리콜 에테르 에스테르 유도체, 예컨대 에틸 셀로솔브 아세테이트, 메틸 셀로솔브 아세테이트, 또는 프로필렌 글리콜 모노메틸 에테르 아세테이트; 카르복실레이트, 예컨데 아세트산에틸, n-부틸 아세테이트 및 아밀 아세테이트; 이염기산의 카르복실레이트, 예컨대 디에틸옥실레이트 및 디에틸말로네이트; 글리콜의 디카르복실레이트, 예컨대 에틸렌 글리콜 디아세테이트 및 프로필렌 글리콜 디아세테이트; 및 히드록시 카르복실레이트, 예컨대 메틸 락테이트, 에틸 락테이트, 에틸 글리콜레이트, 및 에틸-3-히드록시 프로피오네이트; 케톤 에스테르, 예컨대 메틸 피루베이트 또는 에틸 피루베이트; 알콕시 알코올, 예컨대 2-메톡시에탄올, 에톡시에탄올, 알콕시카르복실산 에스테르, 예컨대 메틸 3-메톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 에틸 2-히드록시-2-메틸프로피오네이트, 또는 메틸에톡시프로피오네이트; 케톤 유도체, 예컨대 메틸 에틸 케톤, 아세틸 아세톤, 시클로펜탄온, 시클로헥산온 또는 2-헵탄온; 케톤 에테르 유도체, 예컨대 디아세톤 알코올 메틸 에테르; 케톤 알코올 유도체, 예컨대 아세톨 또는 디아세톤 알코올; 락톤, 예컨대 부티로락톤 및 감마-발레로 락톤; 아미드 유도체, 예컨대 디메틸아세트아미드 또는 디메틸포름아미드, 아니솔 및 이의 혼합물이 포함될 수 있다.
반사방지 코팅 조성물은 중합체 및 티탄산염 화합물을 포함하고, 기타 성분, 예를 들어 저급 알코올(C1-C6 알코올), 알콕시알코올, 락톤, C1-C20 알킬 카르복실산, 표면 라벨링제(총 고형분의 < 5 중량%), 디알콕시 비스(베타디케토에스테르) (총 고형분의 1 내지 20 중량% 또는 5 내지 10 중량%), 디알콕시 비스(베타디케톤) (총 고형분의 1 내지 20 중량% 또는 5 내지 10 중량%), 열산 발생제, 광산 발생제 등이 첨가되어, 코팅의 성능을 증진시킬 수 있다. 디알콕시 비스(베타디케토에스테르) 및 디알콕시 비스(베타디케톤)의 예는 아세틸아세톤, 벤조일아세톤, 4,4,4-트리플루오로-1-페닐-1,3-부탄디온, 및 에틸 아세토아세테이트일 수 있다. 표면 라벨링제 또는 계면활성제는 폴리에틸렌 글리콜 도데실 에테르, 폴리옥시에틸렌 올레일 에테르, 폴리에틸렌 글리콜 옥타데실 에테르, 폴리에틸렌 글리콜 tert-옥틸 페닐 에테르, 불소계 계면활성제, 및 규소계 계면활성제일 수 있다. Brij30, Brij52, 트리톤(Triton) X-100, FC430 등의 상표명을 가지는 계면활성제도 사용될 수 있다.
중합체를 포함하는 신규 조성물은 또한 산 발생제 및 임의적으로는 가교제도 포함할 수 있다. 산 발생제는 가열 시에 강산을 발생시킬 수 있는 열산 발생제일 수 있다. 본 발명에 사용되는 열산 발생제(TAG)는 가열 시에, 중합체와 반응할 수 있고 본 발명에 존재하는 중합체와의 가교결합을 증진시킬 수 있는 산을 발생시키는 것 중 임의의 하나 이상일 수 있고, 특히 바람직한 것은 강산, 예컨대 술폰산일 수 있다. 바람직하게, 열산 발생제는 90℃ 초과, 더욱 바람직하게는 120℃ 초과, 더욱 더 바람직하게는 150℃ 초과에서 활성화된다. 열산 발생제의 예에는 금속 불포함 술포늄 염 및 요도늄 염, 예컨대 비-친핵성 강산의 트리아릴술포늄, 디알킬아릴술포늄 및 디아릴알킬술포늄 염, 비-친핵성 강산의 알킬아릴요도늄 및 디아릴요도늄 염; 및 비-친핵성 강산의 암모늄, 알킬암모늄, 디알킬암모늄, 트리알킬암모늄 및 테트라알킬암모늄 염이 있다. 또한, 공유 열산 발생제는 또한 유용한 첨가제, 예컨대 열분해되어 유리 술폰산을 형성하는 알킬 또는 아릴술폰산의 2-니트로벤질 에스테르 및 술폰산의 기타 에스테르로서 구상된다. 예로는 디아릴요도늄 퍼플루오로알킬술포네이트, 디아릴요도늄 트리스(플루오로알킬술포닐)메티드, 디아릴요도늄 비스(플루오로알킬술포닐)메티드, 디아릴요도늄 비스(플루오로알킬술포닐)이미드, 디아릴요도늄 또는 4차 암모늄 퍼플루오로알킬술포네이트가 있다. 불안정성 에스테르의 예로는 2-니트로벤질 토실레이트, 2,4-디니트로벤질 토실레이트, 2,6-디니트로벤질 토실레이트, 4-니트로벤질 토실레이트; 벤젠술포네이트, 예컨대 2-트리플루오로메틸-6-니트로벤질 4-클로로벤젠술포네이트, 2-트리플루오로메틸-6-니트로벤질 4-니트로벤젠술포네이트; 페놀계 술포네이트 에스테르, 예컨대 페닐, 4-메톡시벤젠술포네이트; 4차 암모늄 트리스(플루오로알킬술포닐)메티드, 및 4차알킬 암모늄 비스(플루오로알킬술포닐)이미드, 유기 산의 알킬 암모늄 염, 예컨대 10-캄포르술폰산의 트리에틸암모늄 염이 있다. 미국 특허 제3,474,054호, 제4,200,729호, 제4,251,665호 및 제5,187,019호에 개시된 것을 포함하여, 각종 방향족(안트라센, 나프탈렌 또는 벤젠 유도체) 술폰산 아민 염이 TAG로서 사용될 수 있다. 바람직하게, TAG는 170 내지 220℃의 온도에서 매우 낮은 휘발도를 가지게 된다. TAG의 예로는 나큐어(Nacure) 및 CDX 상품명 하에 킹 인더스트리즈(King Industries)에 의해 시판하고 있는 것이 있다. 그러한 TAG는 나큐어 5225 및 CDX-2168E이고, 이는 킹 인더스트리즈(미국 06852 코네티컷주 노르워크 소재)제 프로필렌 글리콜 메틸 에테르 중 25 내지 30% 활성으로 공급되는 도데실벤젠 술폰산 아민이다.
하층 코팅 조성물은 당업계 숙련가에게 공지된 기법, 예컨대 딥핑, 스핀 코팅 또는 분무를 이용하여 기판 상에 코팅된다. 하층 코팅의 필름 두께는 약 5 nm 내지 약 400 nm, 바람직하게는 약 10 nm 내지 약 120 nm 범위이다. 코팅은 임의의 잔류 용매를 제거하고 경화 및 이에 따른 반사방지 코팅의 불용화를 유도하여 반사방지 코팅과 그 위에 코팅된 층 간의 혼합을 방지하는 데 충분한 시간 동안 핫 플레이트 또는 대류식 오븐에서 가열된다. 바람직한 온도 범위는 약 90℃ 내지 약 300℃, 또는 약 160℃ 내지 약 250℃ 범위이다. 본 하층 코팅은 반사방지 코팅(들), 예컨대 고 탄소 함량(80% 또는 85% 또는 90% 초과)의 반사방지 코팅의 다른 층 또는 층들 위에 코팅될 수 있다.
하층 코팅이 그 위에 형성되어 있는 기판은 반도체 산업에 전형적으로 사용되는 것들 중 임의의 것일 수 있다. 적당한 기판에는 저 유전 상수 물질, 규소, 금속 표면으로 코팅된 실리콘 기판, 구리 코팅된 실리콘 웨이퍼, 구리, 알루미늄, 중합체 수지, 이산화규소, 금속, 도핑된 이산화규소, 질화규소, 탄탈, 폴리실리콘, 세라믹, 알루미늄/구리 혼합물; 비화갈륨 및 다른 그러한 III족/V족 화합물이 포함되나, 이들에 국한되지 않는다. 기판은 또한 기타 반사방지 코팅 또는 하층, 예컨대 상기 언급된 기판 상에 코팅된 고탄소 하층일 수도 있다. 기판은 또한 상기 기술된 물질로부터 제조된 임의의 수의 층을 포함할 수 있다.
포토레지스트의 필름은 하층 코팅의 상단에 코팅되고 소성되어, 포토레지스트 용매를 실질적으로 제거한다. 코팅 단계 후에 선단 비드 제거제(edge bead remover)를 적용하여, 당업계에 공지된 공정을 이용하여 기판의 선단을 깨끗하게 할 수 있다.
포토레지스트는 반도체 산업에 사용되는 유형들 중 임의의 것일 수 있으며, 단 포토레지스트 및 반사방지 코팅 내 광활성 화합물은 이미지화 공정에 사용되는 노광 파장에서 실질적으로 흡수하는 것이어야 한다. 침액 리소그래피에 유용한 포토레지스트가 바람직하다. 전형적으로, 침액 리소그래피를 이용한 이미지화에 적당한 포토레지스트를 사용할 수 있고, 여기서 상기 포토레지스트는 1.85 초과의 굴절률을 가지고, 또한 75° 내지 95° 범위의 물 접촉 각을 가져 친수성이다.
지금까지, 소형화의 상당한 진보를 제공한, 수가지의 주요 심자외선(UV) 노광 기술이 있는데, 이들은 248 nm, 193 nm, 157 nm 및 13.5 nm의 방사선이다. 화학 증폭 포토레지스트가 종종 사용된다. 248 nm의 경우의 포토레지스트는 전형적으로 치환된 폴리히드록시스티렌 및 이의 공중합체/오늄 염, 예컨대 미국 특허 제4,491,628호 및 미국 특허5,350,660호에 기초하였다. 한편, 193 nm 및 157 nm에서의 노광의 경우의 포토레지스트는, 방향족이 이러한 파장에서 불투과성이기 때문에, 비방향족 중합체를 필요로 한다. 미국 특허 제5,843,624호 및 미국 특허 제6,866,984호에는 193 nm 노광에 유용한 포토레지스트가 개시되어 있다. 일반적으로, 지환족 탄화수소를 함유하는 중합체는 200 nm 미만의 노광을 위한 포토레지스트에 사용된다. 지환족 탄화수소는 많은 이유로 중합체 내로 도입되는데, 주로 그 이유는 지환족 탄화수소가 에칭 내성을 향상시키는 비교적 높은 탄소 대 수소 비를 갖고, 또한 낮은 파장에서 투과도를 제공하며 또한 비교적 높은 유리 전이 온도를 갖기 때문이다. 미국 특허 제5,843,624호에는 말레산 무수물 및 불포화된 고리형 단량체의 자유 라디칼 중합체에 의해 얻어지는 포토레지스트를 위한 중합체가 개시되어 있다. 193 nm 포토레지스트의 공지된 유형들 중 임의의 것, 예를 들어 본원에 참고 미국 특허 제6,447,980호 및 미국 특허 제6,723,488호에 기재된 것이 사용될 수 있다. 157 nm에서 감광성을 갖고 펜던트 플루오로알코올 기를 갖는 플루오르화된 중합체를 기초로 하는 포토레지스트 중 2개의 기본 부류는 그 파장에서 실질적으로 투과성을 갖는 것으로 공지되어 있다. 한 부류의 157 nm 플루오로알코올 포토레지스트는 플루오르화-노르보르넨과 같은 기를 함유하는 중합체로부터 유도되거나, 금속 촉매화 또는 라디칼 중합을 이용하여 다른 투과성 단량체, 예컨대 테트라플루오로에틸렌(미국 특허 제6,790,587호 및 미국 특허 제6,849,377호)으로 단독중합 또는 공중합된다. 일반적으로, 그 물질은 더 높은 흡수도를 제공하지만 그것의 높은 지환족 함량으로 인해 양호한 플라즈마 에칭 내성을 가진다. 더욱 최근에는, 중합체 골격이 비대칭 디엔의 시클로중합, 예컨대 1,1,2,3,3-펜타플루오로-4-트리플루오로메틸-4-히드록시-1,6-헵타디엔(미국 특허 제US 6,818,258호) 또는 플루오로디엔과 올레핀의 공중합(미국 특허 제6,916,590호)에서 유도된, 157 nm 플루오로알코올 중합체의 한 부류가 기술되었다. 이 물질들은 157 nm에서 허용가능한 흡수도를 형성하지만, 플루오로-노르보르넨 중합체에 비해 낮은 지환족 함량으로 인해 낮은 플라즈마 에칭 내성을 가진다. 중합체 중 그러한 두 개의 부류는 종종 배합되어, 제1 중합체 유형의 높은 에칭 내성과 제2 중합체 유형의 157 nm에서의 높은 투과성 사이의 균형을 제공할 수 있다. 13.5 nm의 극 자외선 방사선(EUV)을 흡수하는 포토레지스트도 또한 유용하며 당업계에 공지되어 있다. 따라서, 약 12 nm 내지 약 250 nm 범위에서 흡수하는 포토레지스트가 유용하다. 신규 코팅은 또한 나노임프린팅 및 e-빔 리소그래피에 사용될 수도 있다.
코팅 공정 후, 포토레지스트는 결상 방식으로 노광된다. 노광은 통상의 노광 장비를 이용하여 행해질 수 있다. 이어서, 노광 포토레지스트는 수성 현상제에서 현상시켜, 처리된 포토레지스트를 제거한다. 현상제는 바람직하게는 예를 들어, 수산화테트라메틸암모늄(TMAH), 전형적으로는 2.38 중량% TMAH를 포함하는 알칼리 수용액이다. 현상제는 계면활성제(들)을 추가로 포함할 수 있다. 현상 이전 또한 노광 이후의 공정에 임의적 가열 단계가 도입시킬 수 있다.
포토레지스트의 코팅 및 이미지화 공정은 당업자의 숙련가에게 잘 공지되어 있고, 사용된 포토레지스트의 특정 유형에 대해 최적화된다. 이어서, 포토레지스트 패턴화 기판은 에칭 기체 또는 기체 혼합물에 의해 적당한 에칭 쳄버에서 건식 에칭되어, 에칭 마스크로서 작용하는 잔여 포토레지스트에 의해 하층 및 임의적 기타 반사방지 코팅의 노광 부분을 제거할 수 있다. 각종 에칭 기체, 예컨대 O2, CF4, CHF3, Cl2, HBr, SO2, CO 등을 포함하는 에칭 기체가 하층 코팅을 에칭하기 위해 당업계에 공지되어 있다. 한 실시양태에서, 그 물품은 신규 티탄 하층이 그 위에 코팅되어 있는 고탄소 반사방지 필름과 함께 반도체 기판을 포함한다. 포토레지스트 층이 그 위에 코팅된다. 포토레지스트를 상기 개시된 바와 같이 이미지화하고, 티탄 하층을 플루오로탄소를 포함하는 기체를 이용하여 건식 에칭한다. 티탄 하층을 에칭한 후, 산소 또는 산소 혼합물을 이용하여 고탄소 필름을 건식 에칭할 수 있다.
상기에 언급된 문헌 각각은 모든 목적을 위해 그 전문이 본원에 참조 인용되어 있다. 하기의 구체적 실시예는 본 발명의 조성물을 제조하고 이용하는 방법의 상세한 예시를 제공할 것이다. 그러나, 이러한 실시예는 본 발명의 범위를 어떠한 방식으로도 제한하거나 한정하고자 함이 아니며, 본 발명을 실행하기 위해 배타적으로 이용되어야 하는 조건, 파라미터 또는 값을 제공하는 것으로 간주되어서는 안된다.
실시예
하기 실시예에서 반사방지 코팅의 굴절률(n) 및 흡수율(k) 값은 J. A. 울람 VASE32 타원편광분석기에서 측정하였다.
중합체의 분자량은 겔 투과 크로마토그래피에서 측정하였다.
합성예 1
12.9 g의 이소프로필 헥사플루오로이소프로판올 메타크릴레이트(MA-BTHB-OH), 7.7 g의 벤질 메타크릴레이트, 6.8 g의 2-히드록시에틸 메타크릴레이트 및 8.5 g의 글리시딜 메타크릴레이트를 160 g의 프로필렌글리콜 모노메틸 에테르(PGME) 용매와 혼합하였다. 6시간 동안 질소 하에 75℃에서 1.5 g의 아조비스이소부티로니트릴(AIBN)의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 탈이온(DI)수 중에 석출하였다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 35.5 g(99%)이었다. GPC에 의해 측정한 Mw은 18,000이었다.
합성예 2
9.7 g의 MA-BTHB-OH, 5.8 g의 벤질 메타크릴레이트, 5.1 g의 2-히드록시에틸 메타크릴레이트 및 6.4 g의 글리시딜 메타크릴레이트를 120 g의 테트라히드로푸란 (THF) 용매 중에서 혼합하였다. 20시간 동안 질소 하에 75℃에서 1.0 g의 AIBN의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 DI 수 중에 석출시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 26.5 g(99%)이었다. GPC에 의해 측정한 Mw은 19,000이었다.
합성예 3
15.0 g의 MA-BTHB-OH, 6.0 g의 스티렌, 10.0 g의 2-히드록시프로필 메타크릴레이트 및 19.0 g의 글리시딜 메타크릴레이트를 200 g의 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 용매 중에서 혼합하였다. 24시간 동안 질소 하에 75℃에서 0.97 g의 AIBN의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 DI 수 중에 석출시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 50.0 g(>99%)이었다. GPC에 의해 측정한 중량 평균 분자량(Mw)은 18,500이었다.
합성예 4
9.7 g의 MA-BTHB-OH, 5.8 g의 벤질 메타크릴레이트 및 11.9 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20시간 동안 질소 하에 75℃에서 1.0 g의 AIBN의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 DI 수 중에 석출시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 27.0 g(99%)이었다.
합성예 5
9.7 g의 MA-BTHB-OH, 5.3 g의 벤질 메타크릴레이트, 6.5 g의 2-히드록시프로필 메타크릴레이트 및 6.4 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20시간 동안 질소 하에 75℃에서 1.0 g의 AIBN의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 DI 수 중에 석출시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 27 g(99%)이었다.
합성예 6
9.7 g의 MA-BTHB-OH, 5.9 g의 2-에틸헥실 메타크릴레이트, 3.5 g의 2-히드록시에틸 메타크릴레이트 및 8.5 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20시간 동안 질소 하에 75℃에서 1.0 g의 AIBN의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 DI 수 중에 석출시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 27.2 g(99%)이었다. GPC에 의해 Mw은 19,100이었다.
합성예 7
13.2 g의 MA-BTHB-OH, 5.9 g의 2-에틸헥실 메타크릴레이트, 2.9 g의 2-히드록시에틸 메타크릴레이트 및 7.4 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20시간 동안 질소 하에 75℃에서 1.0 g의 AIBN의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 DI 수 중에 석출시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 29 g(99%)이었다. GPC에 의해 측정한 Mw은 19,300이었다.
합성예 8
18.5 g의 MA-BTHB-OH 및 12.3 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20시간 동안 질소 하에 75℃에서 1.0 g의 AIBN의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 DI 수 중에 석출시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 30.2 g(99%)이었다.
합성예 9
18.5 g의 MA-BTHB-OH, 3.5 g의 2-히드록시에틸 메타크릴레이트 및 8.5 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20시간 동안 질소 하에 75℃에서 1.0 g의 AIBN의 존재 하에 중합 반응이 일어났다. 실온으로 냉각시킨 후, 반응 혼합물을 DI 수 중에 석출시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에 건조시켰고, 수율은 29.8 g(99%)이었다.
비교 합성예 1
10 g의 부탄테트라카르복실산 이무수물, 7 g의 스티렌 글리콜, 0.5 g의 벤질트리부틸암모늄 클로라이드 및 35 g의 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA)를 응축기, 열 조절기 및 기계적 교반기가 있는 플라스크에 충전하였다. 질소 하에 교반하면서, 혼합물을 110℃로 가열하였다. ~1 내지 2시간 후에 투명 용액을 수득하였다. 온도를 3시간 동안 110℃에서 유지시켰다. 냉각 시에, 60 g의 PGMEA 및 36 g의 프로필렌 산화물을 상기 용액과 혼합하였다. 반응을 48시간 동안 50℃에서 유지시켰다. 반응 용액을 실온으로 냉각시키고, 고속 블렌더에서 다량의 물에 천천히 주입하였다. 중합체를 수집하여 물로 철저히 세정하였다. 마지막으로, 중합체를 진공 오븐에서 건조시켰다. GPC에 의해 측정된 Mw이 20,000인, 16 g의 중합체를 수득하였다.
제형예
알드리히 컴퍼니(Aldrich Co.; 미국 위스콘신주 밀워키 소재)제 티탄 (IV) 부톡시드 중합체(TiBTP) 액체를 병에 주입하고, 적절한 용매를 즉시 첨가하여, 10 내지 20% 스톡 용액을 제조하였다. 용액을 5 내지 7일 동안 실온에서 롤러 상에서 잘 혼합하였다. 혼합 시간을 수일에서 24시간으로 감소시키기 위해, 상기 용액을 40 내지 50℃의 승온에서 제조할 수 있다. 합성예 1 내지 8 및 비교 합성예로부터의 중합체의 10% 용액을 적절한 용매 중에서 제조하였다. TiBTP 스톡 용액 및 중합체 스톡 용액들 중 하나를 적절한 비로 혼합하여, 용액 중 요망되는 TiBTP 함량, 통상은 30 내지 100% 범위의 함량을 수득함으로써, 제형을 제조하였다. 용액을 1 내지 2일 동안 잘 혼합하였다. 상기 용액을 희석하여, 필요한 필름 두께를 달성할 수 있다. 제형 안정성 및 코팅 품질을 안정화하고/하거나 향상시키기 위해, 첨가제, 예컨대 계면활성제, 보조 용매(예컨대, 락톤, 카르복실산 및 알코올), 및 킬레이트화제, 예컨대 아세틸아세톤을 희석 중에 첨가할 수 있다. 예를 들어, 0.2% 계면활성제를 첨가하고 최종 8% 고체 함량 샘플을 제조하기 위해, ArF 희석물질(thinner) 중의 1% 계면활성제 스톡 용액(PGMEA/PGME 70:30)을 제조하였다. 이어서, 8 g의 10% TiBTP 스톡 용액을 2 g의 1% 계면활성제 스톡 용액을 혼합하였다. (대안적으로, 첨가제를 TiBTP 스톡 용액 제조 중에 첨가할 수도 있다. 예를 들어, 2% GVL를 가지는 PGMEA/PGME 70:30 중의 10% TiBTP 용액을 하층 제형용 스톡 용액으로 제조할 수 있다). 마지막으로, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하고, 사용을 위해 저장하였다. 대안적으로, 제형을 제형 및 코팅예 19에 기재된 바와 같이 승온에서 제조할 수 있다.
제형 및 코팅예 1
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 40 g의 용매 PGMEA/PGME 70:30 및 1 g의 감마발레로락톤(GVL)을 즉시 첨가하여, 20% 스톡 용액을 제조하였다. 롤러 상에서 하룻밤 동안 혼합한 후, 병을 24시간 동안 40℃에서 오븐에서 저장하였다. 스톡 용액을 2일 동안 실온에서 롤러 상에서 연속하여 혼합하였다. 4 g의 상기 용액, 6 g의 PGMEA/PGME 70:30 및 0.1 g의 GVL를 병에 첨가하고, 1 내지 2일 동안 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.53이었고, 흡수율 파라미터 "k"는 0.54이었다.
제형 및 코팅예 2
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30 및 1 g의 아세틸아세톤을 즉시 첨가하여, 10% 스톡 용액을 제조하였다. 스톡 용액을 수일 동안 실온에서 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다.
제형 및 코팅예 3
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 2로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9.5 g의 TiBTP 스톡 용액을 0.5 g의 중합체 스톡 용액과 혼합하였다. 2.5 g의 PGMEA/PGME 70:30 및 0.25 g의 GVL을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.59이었고, 흡수율 파라미터 "k"는 0.51이었다.
제형 및 코팅예 4
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 5로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9 g의 TiBTP 스톡 용액을 1 g의 중합체 스톡 용액과 혼합하였다. 0.2 g의 GVL을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.63이었고, 흡수율 파라미터 "k"는 0.48이었다.
제형 및 코팅예 5
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 6으로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9 g의 TiBTP 스톡 용액을 1 g의 중합체 스톡 용액과 혼합하였다. 2.5 g의 2-메톡시에탄올 및 0.25 g의 GVL을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.60이었고, 흡수율 파라미터 "k"는 0.47이었다.
제형 및 코팅예 6
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 2로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 7.5 g의 TiBTP 스톡 용액을 2.5 g의 중합체 스톡 용액과 혼합하였다. 6 g의 PGMEA/PGME 70:30 및 0.3 g의 GVL을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.74이었고, 흡수율 파라미터 "k"는 0.37이었다.
제형 및 코팅예 7
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 2로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 5 g의 TiBTP 스톡 용액을 5 g의 중합체 스톡 용액과 혼합하였다. 8 g의 PGMEA/PGME 70:30을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.75이었고, 흡수율 파라미터 "k"는 0.30이었다.
제형 및 코팅예 8
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 5로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 5 g의 TiBTP 스톡 용액을 5 g의 중합체 스톡 용액과 혼합하였다. 8 g의 PGMEA/PGME 70:30을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.75이었고, 흡수율 파라미터 "k"는 0.29이었다.
제형 및 코팅예 9
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30 및 1 g의 아세틸아세톤을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 7로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9.5 g의 TiBTP 스톡 용액을 0.5 g의 중합체 스톡 용액과 혼합하였다. 2.5 g의 PGMEA/PGME 70:30을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다.
제형 및 코팅예 10
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 6으로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9.5 g의 TiBTP 스톡 용액을 0.5 g의 중합체 스톡 용액과 혼합하였다. 1 % 계면활성제 Bij30(알드리히)을 함유하는, 2.5 g의 PGMEA/PGME 70:30를 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.65이었고, 흡수율 파라미터 "k"는 0.45이었다.
제형 및 코팅예 11
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 비교 합성예 1로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9 g의 TiBTP 스톡 용액을 1 g의 중합체 스톡 용액과 혼합하였다. 8 g의 PGMEA/PGME 70:30 및 0.4 g의 GVL을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.70이었고, 흡수율 파라미터 "k"는 0.55이었다.
제형 및 코팅예 12
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 비교 합성예 1로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 8.5 g의 TiBTP 스톡 용액을 1.5 g의 중합체 스톡 용액과 혼합하였다. 6 g의 PGMEA/PGME 70:30 및 0.3 g의 GVL을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.76이었고, 흡수율 파라미터 "k"는 0.52이었다.
제형 및 코팅예 13
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 비교 합성예 1로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 5 g의 TiBTP 스톡 용액을 5 g의 중합체 스톡 용액과 혼합하였다. 10 g의 PGMEA/PGME 70:30을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.81이었고, 흡수율 파라미터 "k"는 0.37이었다.
제형 및 코팅예 14
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 비교 합성예 1로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 3 g의 TiBTP 스톡 용액을 7 g의 중합체 스톡 용액과 혼합하였다. 12 g의 PGMEA/PGME 70:30을 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다. 하층 코팅을 타원편광분석기에서 분석하였다. 193 nm에서의 최적화 굴절률 "n"은 1.84이었고, 흡수율 파라미터 "k"는 0.36이었다.
제형 및 코팅예 15
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 9로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9.5 g의 TiBTP 스톡 용액을 0.5 g의 중합체 스톡 용액과 혼합하였다. 2.5 g의 PGMEA/PGME 70:30 및 0.25 g의 GVL를 상기 혼합물 중에 첨가하였다. 용액을 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다.
제형 및 코팅예 16
합성예 6으로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 0.5 g의 알드리히 컴퍼니로부터 수득된 타이조르(Tyzor)
Figure 112013110901673-pct00011
AA(75%)를 3.75 g의 상기 중합체 스톡 용액 및 5 g의 PGMEA/PGME 70:30과 혼합하였다. 용액을 24시간 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다.
제형 및 코팅예 17
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 4-메틸-2-펜탄올/1-펜탄올 70:30을 즉시 첨가하고, 3 내지 5일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 7로부터의 1 g의 중합체 및 9 g의 4-메틸-2-펜탄올/1-펜탄올 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9.5 g의 TiBTP 스톡 용액을 0.5 g의 중합체 스톡 용액과 혼합하였다. 0.2 g의 아세틸아세톤을 상기 혼합물 중에 첨가하였다. 용액을 3 내지 5일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다.
제형 및 코팅예 18
10 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30을 즉시 첨가하고, 5 내지 7일 동안 롤러 상에서 혼합하여, 10% 스톡 용액을 제조하였다. 합성예 6으로부터의 1 g의 중합체 및 9 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 9.5 g의 TiBTP 스톡 용액을 0.5 g의 중합체 스톡 용액과 혼합하였다. 2.5 g의 1% 계면활성제 Bij30(알드리히) 함유의 PGMEA/PGME 70:30을 상기 혼합물 중에 첨가하였고, 0.25 g의 GVL 및 0.1 g의 아세틸아세톤을 상기 혼합물 중에 첨가하였다. 용액을 1.3 g의 이소프로판올로 희석하고, 1 내지 2일 동안 롤러 상에서 혼합하였다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다.
제형 및 코팅예 19
9.5 g의 알드리히제의 중합체 TiBTP 액체를 병에 주입하였다. 90 g의 용매 PGMEA/PGME 70:30, 2 g의 GVL 및 20 g의 1% 계면활성제 Bij30(알드리히) 함유의 PGMEA/PGME 70:30을 즉시 첨가하고, 잘 혼합하였다. 합성예 6으로부터의 0.5 g의 중합체 및 4.5 g의 PGMEA/PGME 70:30을 혼합하여, 10% 중합체 스톡 용액을 제조하였다. 상기 용액을 24시간 동안 45℃에서 질소 하에 둥근 바닥 플라스크에서 혼합하였다. 냉각시킨 후, 0.5 g의 아세틸아세톤을 교반 하에 첨가하였다. 용액을 병에 저장하고, 24시간 동안 롤러 상에 두었다. 이어서, 혼합물을 세공 크기가 0.2 um인 마이크로 필터를 통해 여과하였다. 이어서, 용액을 40초 동안 실리콘 웨이퍼 상에 스핀 코팅하였다. 이어서, 코팅된 웨이퍼를 200℃에서 1분 동안 핫 플레이트에서 가열하였다.
실시예 20
BARC 필름에서의 내용매성 및 내현상제성의 평가
PGMEA/PGME 70:30 용매를 60초 후에 질소 블로우잉으로 코팅된 실리콘 웨이퍼 상에 분산시켰다. 유의적 필름 손실이 관찰되지 않았다. 현상제(AZ 300MIF, 2.38 중량% TMAH, AZ 일렉트로닉스 머티리얼 USA 코포레이션(AZ Electronics Material USA Corps; 미국 뉴저지주 소머빌 소재)로부터 입수가능함)를 이용하여, 유사 실험들을 행하였다. 코팅예 1 내지 11 및 14 내지 19로부터의 하층 필름은 양호한 내현상제성을 나타냈다. TiBTP 용액을 비교 합성예 1의 중합체와 상이한 비로 혼합함으로써 제형한 코팅예 12 및 13의 경우에는, 60초 동안 현상제 내에 침액시킨 후에 부분적 필름 손실이 관찰되었다.
실시예 21
경화된 필름 내의 Ti%의 측정
상기 금속성 하층 필름 내의 Ti%을 원소 분석 및 TGA 중량 손실 측정에 의해 측정하였다. 두 방법으로부터의 결과는 일치하였다. 제형 내의 TiBTP 함량에 따라, 측정된 Ti는 200℃/60초 필름 소성 조건 후에 4 내지 50% 범위였다.
실시예 22
제형 안정성 평가
제형예 3 내지 10의 용액을 매주 주기적으로 그 용액을 코팅함으로써 장기 저장 안정성 시험에 적용하였다. 제형을 실리콘 웨이퍼 상에서 스핀 코팅하고, 200℃/60초로 소성하였다. 코팅은 1달 이상 동안 양호한 균일성을 나타냈다. 코팅은 또한 실시예 20에서와 같이 내용매성 및 내현상제성에 대해서도 시험하였고, 성능 변화가 관찰되지 않았다.
리소그래픽 평가예 1
AZ
Figure 112013110901673-pct00012
2110P 포토레지스트(AZ 일렉트로닉스 머티리얼 USA 코포레이션(미국 뉴저지주 소머빌 소재)의 제품)를 이용하여, Ti 금속성 반사방지 코팅 제형의 성능을 평가하였다. 실리콘 웨이퍼를 제형 및 코팅예 7로 코팅하고, 60초 동안 200℃에서 소성하여, 90 nm 두께의 필름을 형성하였다. 이어서, AZ
Figure 112013110901673-pct00013
EXP AX2110P 포토레지스트를 이용하여, 190 nm 필름을 상단에 코팅하고, 60초 동안 100℃에서 소성하였다. 이어서, 개구수(Numerical Aperture; NA)가 0.85이고, 0.85 외부 시그마 및 0.55 내부 시그마를 가지는 Y-쌍극 조명을 가진 193 nm 니콘(Nikon) 306D 노광 툴을 이용하여, 웨이퍼를 이미지화하였다. 이어서, 노광 웨이퍼를 60초 동안 110℃에서 소성하고, 30초 동안 AZ
Figure 112013110901673-pct00014
300MIF 현상제(TMAH)를 이용하여 현상하였다. 주사 전자 현미경으로 관찰할 때의 하향식 단면 패턴(top down and cross-section pattern)은 공정 윈도우에서 유의적 붕괴를 나타내지 않았다. 29 mJ/cm2의 광속도로 라인/스페이스 90 nm 1:1에서 양호한 패턴 프로파일이 관찰되었다.
리소그래픽 평가예 2
AZ
Figure 112013110901673-pct00015
2110P 포토레지스트(AZ 일렉트로닉스 머티리얼 USA 코포레이션(미국 뉴저지주 소머빌 소재)의 제품)를 이용하여, Ti 금속성 반사방지 코팅 제형의 성능을 평가하였다. 실리콘 웨이퍼를 제형 및 코팅예 3으로 코팅하고, 60초 동안 200℃에서 소성하여, 38 nm 두께의 필름을 형성하였다. 이어서, AZ
Figure 112013110901673-pct00016
EXP AX2110P 포토레지스트를 이용하여, 양자 모두 190 nm를 표적으로 하는 필름을 상단에 코팅하고, 60초 동안 100℃에서 소성하였다. 이어서, 개구수(NA)가 0.85이고, 0.85 외부 시그마 및 0.55 내부 시그마를 가지는 Y-쌍극 조명을 가진 193 nm 니콘 306D 노광 툴을 이용하여, 웨이퍼를 이미지화하였다. 이어서, 노광 웨이퍼를 60초 동안 110℃에서 소성하고, 30초 동안 AZ
Figure 112013110901673-pct00017
300MIF 현상제(TMAH)를 이용하여 현상하였다. 주사 전자 현미경으로 관찰할 때의 하향식 단면 패턴은 공정 윈도우에서 유의적 붕괴를 나타내지 않았다. 24.5 mJ/cm2의 광속도로 L/S 90 nm 1:1에서 단면 주사 전자 현미경을 통한 양호한 패턴 프로파일이 관찰되었다.

Claims (20)

  1. 유기 티탄산염 화합물 및 중합체를 포함하는 하층 조성물(underlayer composition)로서, 중합체는 하나 이상의 플루오로알코올 기 및 하나 이상의 에폭시 기를 포함하는 것인 하층 조성물.
  2. 제1항에 있어서, 중합체는 하기 구조식(1)의 플루오로알코올 기를 지닌 하나 이상의 단위 및 하기 구조식(2)의 에폭시 기를 지닌 하나 이상의 단위를 포함하는 것인 하층 조성물:
    Figure 112017053141017-pct00018

    (1)
    Figure 112017053141017-pct00019

    (2)
    식 중에서, R1 내지 R6는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, W는 연결기이며, Rf1 및 Rf2는 불소화 (C1-C6) 알킬 기 및 부분 불소화 (C1-C6) 알킬 기로부터 독립적으로 선택되고, W1은 아릴렌 기, 구조(-(C=O))를 갖는 카르보닐 기 및 (-C(=O)-O-W4-)를 갖는 카르보닐옥시알킬렌 기로부터 선택되며, 여기서 W4은 C1 내지 C6 알킬렌 기, 불소화 (C1-C6) 알킬렌 기 및 부분 불소화 (C1-C6) 알킬렌 기로 이루어지는 군으로부터 선택되고, W2는 (C1-C6) 알킬렌 기이다.
  3. 제2항에 있어서, 중합체내에서 플루오로알코올 기(I)는 10 내지 80 몰% 범위이고, 에폭시 기(II)는 20 내지 90 몰% 범위인 하층 조성물.
  4. 제1항에 있어서, 중합체는 하기 구조식(3)의 단위를 추가로 포함하는 것인 하층 조성물:
    Figure 112017053141017-pct00020

    (3)
    식 중에서, R7 내지 R9는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, W5는 직접 원자가 결합, 아릴렌 기, 구조(C(=O)-O-R14)를 갖는 에스테르 기, C1-C20 알킬렌 기 및 이들의 혼합으로부터 선택되며, 여기서 R14는 C1-C20 알킬렌 기, C1-C20 알킬렌아릴렌 기, 아릴렌 기 및 치환된 아릴렌 기로 이루어지는 군으로부터 선택된다.
  5. 제4항에 있어서, 반복 단위(3)는 총 반복 단위의 1 내지 40 몰% 범위인 하층 조성물.
  6. 제1항에 있어서, 중합체는 하기 구조식(4)의 반복 단위를 추가로 포함하는 것인 하층 조성물:
    Figure 112017053141017-pct00021

    (4)
    식 중에서, R10 내지 R12는 수소, 니트릴 및 C1-C4 알킬로부터 독립적으로 선택되고, R13은 아릴 기 또는 구조(-C(=O)-O-R15)를 갖는 에스테르 기이며, 여기서 R15는 C1-C20 알킬 기, C1-C20 알킬렌아릴 기, 아릴 기, 치환된 아릴 기, 불소화 알킬 기, 부분 불소화 알킬 기 및 이들의 혼합으로 이루어지는 군으로부터 선택된다.
  7. 제6항에 있어서, 반복 단위(4)는 총 반복 단위의 1 내지 30 몰% 범위인 하층 조성물.
  8. 제1항에 있어서, 유기 티탄산염 화합물은 하기 구조식 (5), (6) 및 (7)로 이루어지는 군으로부터 선택되는 것인 하층 조성물:
    Figure 112017053141017-pct00022

    (5)
    Figure 112017053141017-pct00023

    (6)
    Figure 112017053141017-pct00024

    (7)
    식 중에서, R15, R'15, R"15 및 R'"15는 C1-C20 알킬 및 C1-C20 알킬 카르보닐로부터 독립적으로 선택되고, 추가로 R16 및 R17는 C1-C20 알킬 및 아릴 기로부터 독립적으로 선택된다.
  9. 제8항에 있어서, 조성물 내의 유기 티탄산염 (5), (6) 및 (7)의 양은 총 고형분의 10 내지 50 중량% 범위인 하층 조성물.
  10. 제1항에 있어서, 유기 티탄산염은 하기 구조식 (8), (9) 및 (10)으로 이루어지는 군으로부터 선택되는 것인 하층 조성물:
    Figure 112017053141017-pct00025

    (8)
    Figure 112017053141017-pct00026

    (9)
    Figure 112017053141017-pct00027

    (10)
    식 중에서, n=2-10이고, R15, R'15 및 R"15는 C1-C20 알킬 및 C1-C20 알킬 카르보닐로 이루어지는 군으로부터 독립적으로 선택되고, 추가로 R16 및 R17는 C1-C20 알킬 및 아릴 기로 이루어지는 군으로부터 독립적으로 선택된다.
  11. 제10항에 있어서, 하층 조성물 내의 중합체 티탄산염 화합물 (8), (9) 및 (10)의 수준은 총 고형분의 10 내지 99.9 중량% 범위인 하층 조성물.
  12. 193 nm에서 1.5 내지 1.9의 굴절률(n) 및 0.1 내지 0.6의 흡수율(k)을 갖고 있는 제1항의 조성물로부터의, 90℃ 내지 300℃에서 경화되는 코팅된 하층 필름.
  13. a) 기판에 제1항의 하층 조성물을 제공하는 단계;
    b) 경화된 티탄 하층 필름을 형성하기 위하여 하층 조성물을 가열에 의해 경화하는 단계;
    c) 경화된 티탄 하층 필름 위에 포토레지스트 층을 코팅하는 단계;
    d) 포토레지스트 층을 심자외선 또는 극자외선 방사선으로 결상 방식(imagewise) 노광하는 단계; 및
    e) 포토레지스트 층을 수성의 알칼리성 현상 용액으로 현상하는 단계
    를 포함하는, 마이크로전자 디바이스의 제조 방법.
  14. 제13항에 있어서, 경화된 티탄 하층 필름은 3 내지 60 중량% 범위의 티탄 함량을 포함하는 것인 제조 방법.
  15. 제13항에 있어서, 결상 방식의 노광은 파장 범위가 250 nm 내지 12 nm인 방사선으로 수행하는 것인 제조 방법.
  16. 제13항에 있어서, 현상 용액은 수산화물 염기를 포함하는 수용액인 제조 방법.
  17. 제13항에 있어서, 기판은 그 기판 위에 코팅된 하나 이상의 반사방지 코팅을 임의로 갖는 것인 제조 방법.
  18. 제13항에 있어서, 기판은 그 기판 위에 코팅된 하나 이상의 고탄소 반사방지 코팅 필름을 갖는 것인 제조 방법.
  19. 제13항에 있어서, 단계 e) 후에 경화된 티탄 하층 필름을 건식 에칭하는 단계를 추가로 포함하는 제조 방법.
  20. 제18항에 있어서, 단계 e) 후에 별도로 경화된 티탄 하층 필름 및 고탄소 반사방지 코팅 필름을 건식 에칭하는 단계를 추가로 포함하는 제조 방법.
KR1020137032152A 2011-06-21 2012-06-18 하층 조성물 및 이의 방법 KR101824763B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/164,869 2011-06-21
US13/164,869 US8568958B2 (en) 2011-06-21 2011-06-21 Underlayer composition and process thereof
PCT/IB2012/001219 WO2012176043A1 (en) 2011-06-21 2012-06-18 An underlayer composition and process thereof

Publications (2)

Publication Number Publication Date
KR20140056178A KR20140056178A (ko) 2014-05-09
KR101824763B1 true KR101824763B1 (ko) 2018-02-02

Family

ID=46465239

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137032152A KR101824763B1 (ko) 2011-06-21 2012-06-18 하층 조성물 및 이의 방법

Country Status (8)

Country Link
US (1) US8568958B2 (ko)
EP (1) EP2723809B1 (ko)
JP (1) JP6122426B2 (ko)
KR (1) KR101824763B1 (ko)
CN (1) CN103582665B (ko)
SG (1) SG194106A1 (ko)
TW (1) TWI560523B (ko)
WO (1) WO2012176043A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
US9201305B2 (en) * 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US9418836B2 (en) * 2014-01-14 2016-08-16 Az Electronic Materials (Luxembourg) S.A.R.L. Polyoxometalate and heteropolyoxometalate compositions and methods for their use
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
BR112016013773B1 (pt) * 2014-03-28 2021-06-08 Versalis S.P.A. polímeros de vinila contendo uma função retardante de chama
JP2015199916A (ja) * 2014-04-02 2015-11-12 Jsr株式会社 膜形成用組成物及びパターン形成方法
US9499698B2 (en) * 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
US9957339B2 (en) * 2015-08-07 2018-05-01 Rohm And Haas Electronic Materials Llc Copolymer and associated layered article, and device-forming method
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
JP6835969B2 (ja) 2016-12-21 2021-02-24 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung ブロックコポリマーの自己組織化のための新規組成物及び方法
WO2018167112A1 (en) 2017-03-16 2018-09-20 Merck Patent Gmbh Lithographic compositions and methods of use thereof
CN111051570B (zh) 2017-09-06 2022-05-10 默克专利股份有限公司 具有改善的热稳定性可用作硬掩膜的含旋涂式无机氧化物的组合物和填充材料
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
CN114080570A (zh) 2019-07-08 2022-02-22 默克专利股份有限公司 用于移除边缘保护层及残余金属硬掩模组分的冲洗剂及其使用方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
US6348299B1 (en) 1999-07-12 2002-02-19 International Business Machines Corporation RIE etch resistant nonchemically amplified resist composition and use thereof
US20030235786A1 (en) 2002-06-25 2003-12-25 Brewer Science, Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US20040058275A1 (en) 2002-06-25 2004-03-25 Brewer Science, Inc. Wet-developable anti-reflective compositions
US20100028804A1 (en) 2008-08-04 2010-02-04 Fujifilm Corporation Resist composition and method of forming pattern therewith

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
JP2001058472A (ja) * 1999-08-20 2001-03-06 Fuji Photo Film Co Ltd 平版印刷版原版
EP1302813A4 (en) 2000-06-21 2005-02-23 Asahi Glass Co Ltd RESIST COMPOSITION
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
CN1221861C (zh) 2001-02-09 2005-10-05 旭硝子株式会社 光致抗蚀剂组合物
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US20040171743A1 (en) 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
EP1493761A1 (en) * 2003-07-02 2005-01-05 3M Innovative Properties Company Fluoropolymer of fluorinated short chain acrylates or methacrylates and oil- and water repellent compositions based thereon
JP4553835B2 (ja) * 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
US7416834B2 (en) * 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
JP4809378B2 (ja) * 2007-03-13 2011-11-09 信越化学工業株式会社 レジスト下層膜材料およびこれを用いたパターン形成方法
KR20100080788A (ko) * 2007-09-07 2010-07-12 쓰리엠 이노베이티브 프로퍼티즈 컴파니 표면 개질된 고굴절률 나노입자를 포함하는 자기-조립 반사방지 코팅
US8039201B2 (en) * 2007-11-21 2011-10-18 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5101541B2 (ja) * 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
US8772376B2 (en) * 2009-08-18 2014-07-08 International Business Machines Corporation Near-infrared absorbing film compositions
WO2012053600A1 (ja) * 2010-10-22 2012-04-26 日産化学工業株式会社 フッ素系添加剤を有するシリコン含有レジスト下層膜形成組成物

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
US6348299B1 (en) 1999-07-12 2002-02-19 International Business Machines Corporation RIE etch resistant nonchemically amplified resist composition and use thereof
US20030235786A1 (en) 2002-06-25 2003-12-25 Brewer Science, Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US20040058275A1 (en) 2002-06-25 2004-03-25 Brewer Science, Inc. Wet-developable anti-reflective compositions
US20100028804A1 (en) 2008-08-04 2010-02-04 Fujifilm Corporation Resist composition and method of forming pattern therewith

Also Published As

Publication number Publication date
EP2723809A1 (en) 2014-04-30
TW201312281A (zh) 2013-03-16
JP2014526060A (ja) 2014-10-02
US20120328990A1 (en) 2012-12-27
TWI560523B (en) 2016-12-01
SG194106A1 (en) 2013-11-29
KR20140056178A (ko) 2014-05-09
CN103582665A (zh) 2014-02-12
JP6122426B2 (ja) 2017-04-26
US8568958B2 (en) 2013-10-29
CN103582665B (zh) 2015-07-08
WO2012176043A1 (en) 2012-12-27
EP2723809B1 (en) 2020-01-08

Similar Documents

Publication Publication Date Title
KR101824763B1 (ko) 하층 조성물 및 이의 방법
US7932018B2 (en) Antireflective coating composition
KR101436653B1 (ko) 반사 방지 코팅 조성물
KR101820263B1 (ko) 반사방지 코팅 조성물 및 이의 공정
CN109180722B (zh) 稳定的金属化合物、它们的组合物以及它们的使用方法
JP5822358B2 (ja) 縮合芳香環を含む反射防止コーティング組成物
JP2012508910A (ja) 縮合芳香環を含む反射防止コーティング組成物
JP2012508909A (ja) 縮合芳香環を含む反射防止コーティング組成物
KR101420460B1 (ko) 반사 방지 코팅 조성물
US9170494B2 (en) Antireflective compositions and methods of using same
KR20120004192A (ko) 레지스트 하층막용 방향족 고리 함유 화합물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 소자의 패턴 형성 방법

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant