KR20110007056A - 변형된 플라즈마 원자층 증착법에 의해 규소-질소 결합을 가지며 스트레스 조정된 유전체 막을 형성하는 방법 - Google Patents

변형된 플라즈마 원자층 증착법에 의해 규소-질소 결합을 가지며 스트레스 조정된 유전체 막을 형성하는 방법 Download PDF

Info

Publication number
KR20110007056A
KR20110007056A KR1020100067768A KR20100067768A KR20110007056A KR 20110007056 A KR20110007056 A KR 20110007056A KR 1020100067768 A KR1020100067768 A KR 1020100067768A KR 20100067768 A KR20100067768 A KR 20100067768A KR 20110007056 A KR20110007056 A KR 20110007056A
Authority
KR
South Korea
Prior art keywords
film
hrf
dielectric film
stress
lrf
Prior art date
Application number
KR1020100067768A
Other languages
English (en)
Other versions
KR101708936B1 (ko
Inventor
이우진
쿠오웨이 홍
아키라 시미츠
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20110007056A publication Critical patent/KR20110007056A/ko
Application granted granted Critical
Publication of KR101708936B1 publication Critical patent/KR101708936B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 기판상에서 Si-N 결합을 가지며 스트레스 조정된 유전체 박막을 PEALD에 의해 형성하는 방법은, 질소 및 수소를 함유한 반응 가스 및 첨가 가스를 기판을 위치시킨 반응 공간 내부로 유입시키고; 고주파 RF 전력원 및 저주파 RF 전력원을 사용해서 RF 전력을 반응 공간에 인가하고; 수소를 함유한 실리콘 전구체를 펄스로 플라즈마를 여기시킨 반응 공간으로 유입시켜서, Si-N 결합을 가지며 스트레스 조정된 유전체 막을 기판상에 형성하는 것을 포함한다.

Description

변형된 플라즈마 원자층 증착법에 의해 규소-질소 결합을 가지며 스트레스 조정된 유전체 막을 형성하는 방법{METHOD OF FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BONDS BY MODIFIED PEALD}
본 발명은 반도체 집적 회로 제조, 특히 스트레스 조정된 질화 실리콘 박막을 형성하는 방법 및 저온(예를 들어, 400℃ 이하)에서 플라즈마 원자층 증착법(plasma enhanced atomic layer deposition; PEALD)을 사용해서 질화 실리콘막을 증착하는 방법에 관한 것이다.
저온(예를 들어, 400℃ 이하)에서 증착된 질화 실리콘층은 예를 들어, 트랜지스터 게이트에 대한 패시베이션 층, 표면 보호층 및/또는 스페이서로서 메모리 장치에서 다수의 중요한 적용예에 사용되어왔다. 질화 실리콘 막이 PECVD(plasma enhanced chemical vapor deposition) 방법에 의해 형성된다. 다른 CVD 방법에 비해 PECVD 방법의 주요 장점은, 증착율이 높고 굴절 지수를 광범위하게 제어할 수 있다는 것이다. PECVD 방법의 부가적인 장점은, 그 공정이 셀 공정처리의 전체 열처리량을 최소로 하면서 예를 들어 400℃ 이하의 상대적으로 낮은 온도에서 가능하다는 것이다.
최근에, 질화 실리콘층은 Saitoh에 의한 U.S. 2003/0040158 A1에 도시했듯이 다른 스트레스(일부는 인장성 스트레스이고 일부는 압축성 스트레스)를 갖는 n-p 채널 MOSFET 장치의 캐리어 이동도를 개선시키는 구조들에서 사용되었다. 양호하게는, 인장성 스트레스를 갖는 질화 실리콘층은 저압 CVD 공정에 의해 형성되고, 압축성 스트레스를 갖는 질화 실리콘층이 PECVD 공정에 의해 형성된다. Saitoh에 의해 개시된 기술에서, 역 스트레스 특성을 갖는 질화 실리콘층을 생산하기 위해, 전체적으로 다른 증착 방법이 사용되야한다.
본 발명은 저온(예를 들어, 400℃ 이하)에서 플라즈마 원자층 증착법(PEALD)를 사용해서 스트레스 조정된 질화 실리콘 박막을 형성하는 방법을 제공한다.
본 발명의 실시예의 목적은 400℃ 이하의 온도와 같은 저온에서 집적 회로에대해 질화 실리콘층과 같은, Si-N 결합을 갖는, 스트레스 조정된(예를 들어, -2.0 내지 1.5 GPa) 유전체 층을 형성하는 방법을 제공하는 것이다. 본 발명의 실시예의 다른 목적은 변형된 플라즈마 원자층 증착법(PEALD) 공정에서 이중 주파수 전력을 조작함에 의해 막을 증착하는 방법을 제공하는 것이다.
이러한 기술적 과제를 해결하기 위한 본 발명의 일 실시예에 따른 유전체 막을 형성하는 방법은, 플라즈마 원자층 증착법(PEALD)에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 목표(target) 유전체 막을 형성하는 방법으로서, 상기 목표 유전체 막은 PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 기준(reference) 유전체 막의 스트레스 형태와 반대인 스트레스 형태로서 인장성 또는 압축성을 갖는 스트레스를 갖고, 그 방법은, (ⅰ) 질소 및 수소를 함유한 반응 가스와 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 단계; (ⅱ) 상기 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 갖는 상기 목표 유전체 막을 얻기 위해 LRF/HRF의 비율로 저주파 RF 전력(LRF) 및 고주파 RF 전력(HRF)의 합성인 RF 전력을 상기 반응 공간에 인가하는 단계(상기 비율은, 상기 기준 유전체 막의 스트레스 형태가 인장성을 가질 때, 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 증가시키거나, 상기 기준 유전체 막의 스트레스 형태가 압축성을 가질 때, 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 감소시켜 세트됨); 및 (ⅲ) 상기 RF 전력 인가 시 수소를 함유한 실리콘 전구체를 펄스로 상기 반응 공간으로 유입시켜서, PEALD에 의해 상기 목표 유전체 막을 반도체 기판상에 형성하는 단계(여기서 그 세트 비율의 결과로서, 상기 목표 유전체 막이 상기 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 가짐)를 포함한다.
본 발명의 다른 실시예에 따른 유전체 막을 형성하는 방법은, PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 목표(target) 유전체 막을 형성하는 방법을 제공하고, 상기 목표 유전체 막은 PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 기준(reference) 유전체 막의 막 스트레스 값과 다른 막 스트레스 값을 갖고, 그 방법은, (ⅰ) 질소 및 수소를 함유한 반응 가스와 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 단계; (ⅱ) 상기 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 갖기 위해 LRF/HRF의 비율로 LRF 전력 및 HRF 전력의 합성인 RF 전력을 상기 반응 공간에 인가하는 단계(상기 비율은, 상기 기준 유전체 막의 막 스트레스 값 보다 낮은 막 스트레스 값을 얻기 위해 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 증가시키거나, 상기 기준 유전체 막의 막 스트레스 값 보다 높은 막 스트레스 값을 얻기 위해 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 감소시켜 세트됨); 및 (ⅲ) 상기 RF 전력 인가 시 수소를 함유한 실리콘 전구체를 펄스로 상기 반응 공간으로 유입시켜서, PEALD에 의해 목표 유전체 막을 반도체 기판상에 형성하는 단계(여기서 LRF/HRF의 비율이 상기 기준 유전체 막의 막 스트레스 값과 다른 목표 유전체 막의 막 스트레스 값을 차별화하는 기본 파라미터로서 사용됨)를 포함한다.
본 발명의 또 다른 실시예에 따른 유전체 막을 형성하는 방법은, PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 각기 갖는, 다수의 유전체 막을 형성하는 방법을 제공하고, 그 다수의 유전체 막은 인장성 스트레스 막 및 압축성 스트레스 막을 포함하고, 상기 방법은, (ⅰ) 질소 및 수소를 함유한 반응 가스와 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 단계; (ⅱ) LRF/HRF의 비율로 LRF 전력 및 HRF 전력의 합성인 RF 전력을 상기 반응 공간에 인가하는 단계; (ⅲ) 상기 RF 전력 인가 시 수소를 함유한 실리콘 전구체를 펄스로 상기 반응 공간으로 유입시켜서, PEALD에 의해 그 인장성 및 압축성 스트레스 막들 중 하나를 반도체 기판상에 형성하는 단계; 및 (ⅳ) 기본 파라미터로서 증착 막의 스트레스를 제어하고, LRF/HRF의 비율을 변화시키고, 변화된 LRF/HRF의 비율로 단계(ⅰ) 내지 (ⅲ)를 반복해서, 다른 인장성 및 압축성 스트레스 막을 반도체 기판상에 형성하는 단계를 포함한다.
본 발명에 의하면, 400℃ 이하의 온도와 같은 저온에서 집적 회로에 대해 질화 실리콘층과 같은, Si-N 결합을 가지고 스트레스 조정된(예를 들어, -2.0 내지 1.5 GPa) 유전체 층을 형성할 수 있다. 또한, 변형된 플라즈마 원자층 증착법(PEALD) 공정에서 이중 주파수 전력을 조작하여 유전체 막을 형성할 수 있다.
본 발명의 상기 및 다른 특성은 본 발명의 예시를 위한 것이며 본 발명을 제한하지 않는 양호한 실시예의 도면을 참고로 설명된다. 그 도면들은 예시 목적으로 많이 단순화시켰으며 반드시 일정한 비율로 되지 않는다.
도 1은 본 발명의 일 실시예에서 Si-N 결합을 갖는 유전체 층을 증착시키기 위한 PEALD 장치의 개략도.
도 2는 Si-N 결합을 가지며 스트레스 조정된 유전체 층을 증착하기 위해 본 발명의 일 실시예에서 PEALD 방법의 공정 단계를 도시한 개략도.
도 3a는 본 발명의 일 실시예에서 Si-N 결합을 갖는 유전체 층의 막 스트레스(MPa)를 (HRF가 50 W)막 증착동안 질소 흐름(slm)과의 함수로서 도시한 그래프.
도 3b는 본 발명의 일 실시예에서 Si-N 결합을 갖는 유전체 층의 막 스트레스(MPa)를 막 증착동안 HRF 전력과의 함수로서 도시한 그래프.
도 4는 본 발명의 일 실시예에서 Si-N 결합을 갖는 유전체 층의 막 스트레스(MPa)를 막 증착동안 HRF 전력을 달리했을 때 LRF 전력(W)과의 함수로서 도시한 그래프.
도 5는 본 발명의 일 실시예에서 Si-N 결합을 갖는 유전체 층의 막 스트레스(MPa)를 (HRF가 100 W)막 증착 온도를 달리했을 때 LRF 전력(W)과의 함수로서 도시한 그래프.
도 6a는 기판 온도 250℃에서 0.142 W/cm2 의 HRF일때 LRF 전력(W)과 관련해서 습식 에칭율(nm/min) 및 막 스트레스(MPa)간의 관계를 도시한 그래프.
도 6b는 기판 온도 350℃에서 0.142 W/cm2 의 HRF일때 LRF 전력(W)과 관련해서 습식 에칭율(nm/min) 및 막 스트레스(MPa)간의 관계를 도시한 그래프.
도 6c는 기판 온도 400℃에서 0.142 W/cm2 의 HRF일때 LRF 전력(W)과 관련해서 에칭율(nm/min) 및 막 스트레스(MPa)간의 관계를 도시한 그래프.
도 7은 LRF 전력(W)과 관련해서 스트레스(MPa) 및 N-H 결합 영역간의 관계를 도시한 그래프.
본 발명의 일 실시예에서, 변형된 PEALD에 의해, Si-N 결합을 갖는, 스트레스 조정된 유전체 박막을 반도체 기판상에 형성하는 방법이 제공된다. 그 방법은, 질소 및 수소를 함유한 반응 가스 및 첨가 가스(예를 들어, 희귀 가스)를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 것, 및 이중 RF(고주파 및 저주파)전력을 반응 공간에 인가하는 것을 포함한다. 그 후에, 수소를 함유한 실리콘 전구체는 반응 공간으로 지속기간 5 초 미만의 펄스로 유입되어 플라즈마가 여기되어, Si-N 결합을 가지며 스트레스 조정된 유전체 막을 기판상에 형성한다.
본 개시에서, "가스"는 증기화된 고체 및/또는 액체이다. 본 개시에서, "Si-N 결합을 갖는"은 Si-N 결합으로 특징지어지고, Si-N 결합에 의해 주로 또는 우세하게 구성되고, Si-N 막에서 카테고리화되고 Si-N 결합에 의해 거의 구성된 주요 골격을 갖는 것을 말한다. 본 개시에서, 반응 가스, 첨가 가스, 및 수소를 함유한 실리콘 전구체가 가스 형태에 따라 서로 다르거나 상호 배타적인데, 그 카테고리들간에 가스가 겹치지 않는다. 더우기, 본 개시에서, 표시된 범위가 종점을 포함 하거나 제외할 수 있다.
다른 실시예에서, 변형된 PEALD에 의해, Si-N 결합을 갖는, 스트레스 조정된 유전체 박막을 반도체 기판상에 형성하는 방법은 반응 가스 및 첨가 가스를 반도체 기판을 위치시킨 CVD 반응 체임버 내부로 유입하는 것을 포함하고 여기서 반도체 기판 온도가 약 0 내지 400℃ 범위로 유지된다. 반응 가스 및 첨가 가스가 반응 체임버로 유입된 후, 반응 체임버가 플라즈마 여기 상태로 된다. 그 후, 수소를 함유한 실리콘 전구체가 펄스 흐름 제어 밸브를 사용해서 펄스로 반응 체임버로 유입되고, 여기서 그 실리콘 전구체가 반응 체임버로 유입되고 여기서 플라즈마가 여기되어, 가스의 플라즈마 반응에 의해 Si-N 결합을 갖는 유전체 박막을 기판상에 형성한다.
또 다른 실시예에서, Si-N 결합을 갖는 스트레스 조정된 유전체 박막이 주파수 범위를 달리하는 RF 전력을 공급함에 의해 동작하는 이중 RF 전력 입력원으로써 PEALD 체임버에서 증착된다. 통상적으로, 고주파 전력 입력원은 5 MHz(예를들어, 13.56 또는 27 MHz의 높은 RF 주파수 전력이 사용될 수 있다)를 초과하는 주파수로 RF 전력을 공급함으로써 동작한다. 저주파 전력 입력원은 약 400 내지 500 kHz 범위의 주파수로 RF 전력을 공급함으로써 동작한다. 어떤 실시예에서, 저주파 전력 대 고주파 전력의 비율은 0 내지 100%이다.
실시예에서, 고주파 전력은 약 0.01 내지 0.3 W/cm2; 통상적으로 약 0.04 내지 0.15 W/cm2 범위로 RF 전력(HRF) 입력을 사용해서 유입된다. 저주파 전력은 약 0 내지 0.3 W/cm2; 통상적으로 약 0 내지 0.15 W/cm2 범위로 RF 전력(LRF) 입력을 사용해서 유입된다.
또 다른 실시예에서, 수소를 함유한 실리콘 전구체는 실리콘 및 수소의 화합물; 실리콘, 수소, 및 질소의 화합물; 실리콘, 수소, 카본 및 질소의 화합물로 구성된다. 실시예에서, 증기화된 수소를 함유한 실리콘 전구체는 플라즈마 중합을 유지하는 동안 약 0.5 내지 3 초 펄스 간격으로 약 0.1 내지 1.0 초의 지속기간을 갖는 펄스로 유입된다. 실시예에서, 반응 가스는 질소 가스 및 수소 가스의 화합물 또는 암모니아 가스 및 수소 가스의 화합물일 수 있다. 실시예에서, 첨가 가스는 He, Ar, Kr, Xe로 구성되는 그룹에서 선택되고 첨가 가스의 몰 흐름 속도는 수소를 함유한 실리콘 소스의 몰 흐름 속도보다 더 크다. 실시예에서, 반응 체임버는 약 0.1 내지 10 토르의 압력으로 유지된다.
본 발명의 양태 및 관련된 기술에 대비해 성취된 장점을 요약하기 위해, 본 발명의 소정의 목적 및 장점은 본 개시에서 설명된다. 물론, 그 모든 목적 또는 장점이 본 발명의 특정한 실시예에 따라 반드시는 이루어지 않을 수 있다는 것을 알 수 있다. 그러므로, 예를 들어, 본 기술에 숙련된 자는 본 발명이 본원에 교시 또는 제시했듯이 다른 목적 또는 장점을 반드시 성취함이 없이도 본원에 교시된 여러 장점을 성취하거나 최적화하는 방법으로 구체화하거나 수행될 수 있다는 것을 알 수 있다.
본 발명의 부가적인 양태, 특성 및 장점은 아래의 상세한 설명에서 명백해진다.
본 발명은 본 발명을 제한하지 않는 실시예들을 참고로 설명된다. 일 실시예에서 적용된 구성요소는 다른 실시예에서도 자유롭게 적용가능하고, 다른 실시예에서 적용된 구성요소는, 특정한 조건이 없는 한, 대체가능하거나 상호교환가능하다. 더구나, 하기에 표시된 범위는 실시예에서 종점을 포함하거나 제외할 수 있다.
본 발명의 일 실시예에서, 반도체 기판상에서 Si-N 결합을 가지며 스트레스 조정된 유전체 박막을 PEALD에 의해 형성하는 방법은, (a) 질소 및 수소를 함유한 반응 가스 및 첨가 가스를 기판을 위치시킨 반응 공간 내부로 유입시키고; (b) 이중 RF(고주파 및 저주파) 전력을 반응 공간에 인가하고; 및 (c) 설정된 간격인 5초 미만 주기의 펄스로 수소를 함유한 실리콘 전구체를 플라즈마를 여기시킨 반응 공간으로 유입시켜서, Si-N 결합을 가지며 스트레스 조정된 유전체 막을 기판상에 형성하는 것을 포함한다.
실시예에서, Si-N 결합을 가지며 스트레스 조정된 유전체 박막은 주파수 범위를 달리하는 RF 전력을 공급해서 동작하는 이중 RF 전력 입력원으로써 PEALD 체임버에서 증착된다. 통상적으로, 고주파 전력 입력원은 5 MHz를 초과하는 주파수(예를 들어, 13.56 MHz 또는 27 MHz의 높은 RF 주파수 전력이 사용될 수 있음)에서 동작된다. 저 주파수 전력 입력원은 약 400 MHz 내지 500 MHz 범위 내의 주파수에서 동작된다. 어떤 실시예에서, 저주파 전력 대 고주파 전력의 비율은 0 내지 100 %이다.
앞선 실시예에서, 고주파 전력은 약 0.01 내지 0.3 W/cm2 의 범위 내에서 더 일반적으로는 약 0.04 내지 0.15 W/cm2 의 범위 내에서 RF 전력 입력을 사용해서 발생된다. 저 주파수 전력은 약 0 내지 0.3 W/cm2 의 범위 내에서 더 일반적으로는 약 0 내지 0.15 W/cm2 의 범위 내에서 RF 전력 입력을 사용해서 발생된다.
개시된 실시예들중 하나는, PEALD(plasma enhanced atomic layer deposition)에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 목표(target) 유전체 막을 형성하는 방법을 제공하고, 상기 목표 유전체 막은 PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 기준(reference) 유전체 막의 스트레스 형태와 반대인 스트레스 형태로서 인장성 또는 압축성을 갖는 스트레스를 갖고, (ⅰ) 질소 및 수소를 함유한 반응 가스 및 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 것과; (ⅱ) 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 갖는 목표 유전체 막을 얻기 위해 LRF(저주파 RF)/HRF(고주파 RF)의 비율로 LRF 전력 및 HRF 전력의 합성인 RF 전력을 반응 공간에 인가하는 것 - 상기 비율은, 기준 유전체 막의 스트레스 형태가 인장성을 가질 때, 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 증가시키거나 기준 유전체 막의 스트레스 형태가 압축성을 가질 때, 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 감소시켜 세트되고 - 과; 및 (ⅲ) RF 전력 인가시 수소를 함유한 실리콘 전구체를 펄스로 반응 공간으로 유입시켜서, PEALD에 의해 목표 유전체 막을 반도체 기판상에 형성하는 것 - 여기서 그 세트 비율의 결과로서, 그 목표 유전체 막이 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 가짐 - 을 포함한다.
어떤 실시예에서, LRF/HRF의 비율은 목표 유전체 막의 스트레스 형태를 제어하는 기본 파라미터이다. 어떤 실시예에서, LRF/HRF의 비율은 목표 유전체 막의 스트레스 형태를 제어하는 유일한 파라미터이다.
어떤 실시예에서, 목표 유전체 막은 압축성 막 스트레스를 갖고, 단계(ⅱ)에서, LRF/HRF의 비율은 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 증가시켜 세트되고, 여기서 LRF/HRF의 증가된 비율은 2/10 내지 10/10이다. 대안적으로, 어떤 실시예에서, 목표 유전체 막은 인장성 막 스트레스를 갖고, 단계(ⅱ)에서, LRF/HRF의 비율은 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 감소시켜 세트되고, 여기서 LRF/HRF의 감소된 비율은 0/10 내지 4/10이다.
어떤 실시예에서, 수소를 함유한 실리콘 전구체는 그 막을 형성하는 동안 약 0.1 내지 1.0 초의 펄스 주기를 갖는 펄스로 약 0.5 내지 1.0 초의 간격으로 유입된다.
다른 개시된 실시예는, PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 목표(target) 유전체 막을 형성하는 방법을 제공하고, 상기 목표 유전체 막은 PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 기준(reference) 유전체 막의 막 스트레스 값과 다른 막 스트레스 값을 갖고, (ⅰ) 질소 및 수소를 함유한 반응 가스 및 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 것과; (ⅱ) 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 갖기 위해 LRF/HRF의 비율로 LRF 전력 및 HRF 전력의 합성인 RF 전력을 반응 공간에 인가하는 것 - 상기 비율은, 기준 유전체 막의 막 스트레스 값보다 낮은 막 스트레스 값을 목표 유전체 막을 얻기 위해 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 증가시키거나 기준 유전체 막의 막 스트레스 값보다 높은 막 스트레스 값을 얻기 위해 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 감소시켜 세트되고 - 과; 및 (ⅲ) RF 전력 인가시 수소를 함유한 실리콘 전구체를 펄스로 반응 공간으로 유입시켜서, PEALD에 의해 목표 유전체 막을 반도체 기판상에 형성하는 것 - 여기서 LRF/HRF의 비율이 기준 유전체 막의 막 스트레스 값과 다른 목표 유전체 막의 막 스트레스 값을 차별화하는 기본 파라미터로서 사용됨 - 을 포함한다.
개시된 또 다른 실시예는 PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 각기 갖는, 다수의 유전체 막을 형성하는 방법을 제공하고, 다수의 유전체 막은 인장성 스트레스 막 및 압축성 스트레스 막을 포함하고, 상기 방법은, (ⅰ) 질소 및 수소를 함유한 반응 가스, 및 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 것과; (ⅱ) LRF/HRF의 비율로 LRF 전력 및 HRF 전력의 합성인 RF 전력을 반응 공간에 인가하는 것과; (ⅲ) RF 전력 인가시 수소를 함유한 실리콘 전구체를 펄스로 반응 공간으로 유입시켜서, PEALD에 의해 인장성 및 압축성 스트레스 막들 중 하나를 반도체 기판상에 형성하는 것; 및 (ⅳ) 기본 파라미터로서 증착 막의 스트레스를 제어하고, LRF/HRF의 비율을 변화시키고, 변화된 LRF/HRF의 비율로 단계(ⅰ) 내지 (ⅲ)를 반복해서, 다른 인장성 및 압축성 스트레스 막을 반도체 기판상에 형성하는 것을 포함한다.
본 개시에서 개시된 모든 또는 일부의 특성은 개시된 실시예에서 결합해서 사용될 수 있다.
실시예에서, 유전체 막의 증착은 약 -2.0 Gpa(압축성) 내지 1.5 Gpa(인장성)의 범위 내의 스트레스를 갖도록 조정된 Si-N 결합을 갖는다. 압축성 막이 요구되는 경우에, 유전체 막 스트레스는 약 -2.0 Gpa(압축성) 내지 -180 MPa의 범위 내로 되도록 조정 또는 조절될 수 있다. 인장성 막이 요구되는 경우에, 유전체 막 스트레스는 약 40 Mpa 내지 1.5 GPa의 범위 내로 되도록 조정 또는 조절될 수 있다. 실시예에서, 수소를 함유한 실리콘 전구체는 화학식 SiαHβXγ이고, 여기서 α,β 및 γ는 정수이고 γ는 제로를 포함한다. X는 N 및/또는 CmHm이고 여기서 m 및 n는 정수이다. 실시예에서, m는 2 내지 18이고, n는 6 내지 30이다.
앞선 실시예에서, 그 막이 기판위에서 증착되는 동안에 그 기판은 온도 0℃ 내지 400℃로 유지된다.
앞선 실시예에서, 반응 가스 및 첨가 가스가 연속 유입되고 이중 RF 전력이 연속 인가되는 동안 수소를 함유한 실리콘 전구체는 펄스로 유입된다.
앞선 실시예에서, 수소를 함유한 실리콘 전구체는 약 0.1 내지 1.0 초의 지속기간을 갖는 펄스로 유입된다. 어떤 실시예에서, 수소를 함유한 실리콘 전구체의 펄스는 약 0.2 내지 0.3 초의 지속기간을 갖는다.
어떤 실시예에서, 수소를 함유한 실리콘 전구체의 펄스는 약 0.1 내지 3.0 초만큼 분리된다. 어떤 실시예에서, 그 간격은 약 0.5 내지 3.0 초 또는 약 1.0 내지 2.0 초이다. 실시예에서, 펄스 지속기간은 그 간격과 같거나 짧다.
앞선 실시예에서, 반응 가스는 N2 및 H2의 혼합물, NH3 및 H2의 혼합물, 및 질소-보론-수소 가스를 포함한다. 일 실시예에서, 반응 가스는 약 1/1 내지 10/1의 N2/H2의 몰 흐름 속도 비율을 갖는 N2 및 H2의 혼합물을 포함한다. 어떤 실시예에서, N2 및 H2의 몰 흐름 속도 비율은 2/1 내지 4/1이다. 실시예에서, 반응 가스는 약 1:1 내지 1:10의 NH3/H2의 몰 흐름 속도 비율을 갖는 NH3 및 H2의 혼합물을 포함한다. 어떤 실시예에서, NH3/H2의 몰 흐름 속도 비율은 1:1 내지 1:3이다.
앞선 실시예에서, 첨가 가스는 He, Ar, Kr, 및 Xe로 구성되는 그룹에서 선택된 하나 이상의 가스이고, 첨가 가스의 몰 흐름 속도 비율은 수소를 함유한 실리콘 소스의 몰 흐름 속도 비율보다 더 크다. 실시예에서, 반응 체임버에 유입된 첨가 가스의 흐름 속도 비율은 약 30 내지 3000 sccm이다. 어떤 실시예에서, 첨가 가스의 흐름 속도 비율은 약 1500 내지 2500 sccm이다. 실시예에서, 첨가 가스는 헬륨 및 아르곤의 혼합물 또는 헬륨 및 크립톤의 혼합물을 포함하다. 실시예에서, 첨가 가스는 약 3/1 내지 20/1인 헬륨/아르곤의 몰 흐름 속도 비율을 갖는 헬륨 및 아르곤의 혼합물을 포함한다. 어떤 실시예에서, 헬륨/아르곤의 몰 흐름 속도 비율은 약 5/1 내지 15/1이다. 실시예에서, 첨가 가스는 약 5/1 내지 15/1인 헬륨/크립톤의 몰 흐름 속도 비율을 갖는 헬륨 및 크립톤의 혼합물을 포함한다.
앞선 실시예에서, 반응 공간 전구체는 약 0.1 내지 10 토르의 범위 내로 조절된다. 어떤 실시예에서, 반응 공간의 압력이 약 2 내지 9 토르이다.
앞선 실시예에서, 증착된 상태에서 Si-N 결합을 가지며 스트레스 조정된 유전체 층의 유전체 상수는 4.5 내지 7.5의 범위에 있다. 어떤 실시예에서, 유전체 상수는 약 6.5 내지 7.2이다.
앞선 실시예에서, 수소를 함유한 실리콘 전구체는 실온에서 증기나 액체이다. 어떤 실시예에서,수소를 함유한 실리콘 전구체가 실레인, 디실란, 트리실릴아민, 및 비스(터트-부틸아민)실레인으로 구성되는 그룹에서 선택된 하나 이상의 화합물이다.
다음의 실시예들은 본 발명을 제한하지 않는 도면을 참고로 설명된다. 도 1은 흐름 제어 밸브를 갖는 플라즈마 CVD 반응기를 결합한, 본 발명의 일 실시예에서 사용될 수 있는, 장치의 개략도이다.
전기 전도성 평판 전극(4,2)쌍을 평행으로 제공하고 반응 체임버(3)의 내부(11)에서 서로 마주보게 하고, HRF 전력(예를 들어, 13.56 또는 27 MHz)(5) 및 LRF 전력(예를 들어, 400 내지 500 kHz)(50)을 전극(4)에 인가하고, 나머지 전극(2)에 전기적으로 그라운딩(12)을 인가하고 또는 그 역으로 인가함에 의해, 전극들간에 플라즈마를 여기시킨다. 온도 조절기는 하단(하부 전극(2))에 제공되고, 그 위에 있는 기판(1)의 온도가 설정 온도로 일정하다. 상부 전극(4)은 또한 샤워 플레이트로서 역할하고, 반응 가스 및 첨가 가스는 가스 흐름 제어기(21 및 22) 및 샤워 플레이트를 통해 반응 체임버(3)으로 유입된다. 수소를 함유한 실리콘 전구체는 가스 흐름 제어기(23), 펄스 흐름 제어 밸브(31), 및 샤워 플레이트(4)를 통해 반응 체임버로 유입된다. 또한, 반응 체임버(3)에서, 배기 파이프(6)는 반응 체임버(3)의 내부(11) 가스를 일정하게 배출하게 된다. 또한, 반응 체임버는 봉인 가스 흐름 제어기(24)을 구비하여 봉인 가스를 반응 체임버(3)의 내부(11)로 유입시킨다(반응 체임버 내부에서 반응 영역 및 이송 영역을 분리하는 분리판이 본 도면에서는 생략됨).
펄스 흐름 제어 밸브(31)에서, ALD(원자층 증착)에서 사용되는 펄스 공급 밸브가 실시예에서 알맞게 사용될 수 있다.
도 2는 스트레스 조정된 질화 실리콘막을 증착하는 본 발명의 실시예에 따른 공정 단계를 도시한다. 도 2에 도시했듯이 본 발명의 실시예에서 반응 가스 및 첨가 가스는 반응 체임버로 유입되고(패턴Ⅰ) 실리콘 전구체는 약 0.1 내지 1.0 초 동안 펄스 흐름 제어 밸브를 통해 반응 체임버로 유입된 후 패턴 Ⅳ로 도시했듯이 약 0.5 내지 3 초 동안 차단되고 거기에서 가스 공급동안 플라즈마가 (패턴(Ⅱ 및 Ⅲ)에서 도시된 LRF 및 HRF에 의해) 여기된다. 실시예에서, 다른 가스는 사용되지 않는다.
즉, 시간 주기A(예를 들어, 1.0 내지 10.0 초의 지속기간)동안, 반응 가스 및 첨가 가스는 반응 체임버의 압력을 안정화하기 위해 유입된다. 시간 주기(B)동안, 수소를 함유한 실리콘 전구체는 반응 가스 및 첨가 가스의 흐름을 유지하는 동안 유입된다. 또한 시간 주기B동안, HRF 전력 및 LRF 전력은 자체-포화 반응에 의해 원자층을 증착하기 위해 공급된다. 시간 주기C동안, 수소를 함유한 실리콘 전구체의 흐름은 반응 가스의 흐름, 첨가 가스의 흐름, HRF 전력의 공급 및 LRF 전력의 공급을 유지하는 동안 정지된다. 시간 주기B 및 시간 주기C는 하나의 사이클을 구성하고, 시간 주기D동안, 바람직한 막 두께를 얻을 때까지 다수의 사이클들이 수행된다.
펄스로 실리콘 전구체를 유입하는 것은 다수의 사이클을 행함에 의해 반복되고, 수소-질소 결합이 증착된 막의 스트레스를 상당히 향상시키도록 제어되고 대량의 수소 및 질소가 막 성장동안 Hx,Nx 라디칼스(radicals)를 상당히 증가시키게 되는 공정에 추가되어, 증착 재료의 표면 이동을 개선시켜서 기판상에 스트레스 조정되고 높은 등각성(conformal) 질화 실리콘 막을 형성한다.
실시예에서, 사이클당 증착된 층 두께는 약 0.1 내지 1.0 nm/사이클로 되고 바람직한 막 두께(예를 들어, 5 내지 20 nm)가 얻어질 때까지 실리콘 전구체의 펄스 공급은 계속된다.
Si-N 결합을 갖는 스트레스 조정된 유전체 층을 반도체 기판에 형성할 때, 실시예의 증착 조건은 다음과 같다. 즉
수소를 함유한 실리콘 전구체(예를 들어, 트리실릴아민): 10 내지 2000 sccm(예를 들어 50 내지 1000 sccm)
반응 가스 1(예를 들어, 수소): 200 내지 2000 sccm(예를 들어 500 내지 1500 sccm)
반응 가스 2(예를 들어, 질소): 0 내지 2000 sccm(예를 들어 100 내지 1500 sccm)
반응 가스 3(예를 들어, 암모니아): 0 내지 1000 sccm(예를 들어 0 내지 500 sccm)
희귀 가스 1(예를 들어, 헬륨 공정): 0 내지 5000 sccm(예를 들어 500 내지 3000 sccm)
봉인 가스(예를 들어, 헬륨: 통상적으로 봉인 가스는 반응 또는 공정 가스의 일부로 구성되지 않는다): 200 내지 700 sccm(예를 들어 300 내지 600 sccm)
희귀 가스 2(예를 들어, 아르곤): 50 내지 700 sccm(예를 들어 200 내지 600 sccm)
기판 온도: 0 내지 400℃(예를 들어, 100 내지 350℃)
고주파 RF 전력(기판 상부 표면 영역당): 0.01 내지 0.3 W/cm2(예를 들어, 0.04 내지 약 0.2 W/cm2)
저주파 RF 전력(기판 상부 표면 영역당): 0 내지 100%의 고주파 RF 전력
전구체 공급 시간: 0.1 내지 0.7초 공급(예를 들어, 0.2 내지 0.5초), 0.1 내지 4.0 공급 중단(예를 들어, 0.4 내지 2.0초)(예를 들어, 그 정지 주기는 공급 주기보다 길다)
본 발명의 실시예에 따라 Si-N 결합을 갖는 유전체 층은 약 80% 이상의 등각성(양호하게는 90% 이상)을 가진다. 그 등각성은 트렌치의 측면 표면상에 형성된 막의 평균 두께 대 상부 표면상에 형성된 막의 평균 두께의 비율로 정의된다. 스트레스는 약 -2.0 GPa(압축성) 내지 1.5 GPa(인장성)의 범위내로 조정된다. 또한, 다른 실시예에서, 기판상에서 막 증착의 유전체 상수는 약 6.7 내지 7.3이고 633nm에서의 반사 지수는 약 1.8 내지 2.5의 범위이다. 버퍼된 수소 플로라이드를 사용해서 측정했듯이 본 발명의 실시예에 따른 증착된 질화 실리콘막의 에칭율은 일반적으로 표준으로 사용되는 종래의 열적 산화 실리콘보다 적은 약 2 내지 10배이다
본 발명은 본 발명을 제한하지 않는 특정 예를 참고로 설명된다. 특정 예에 적용된 수치가 다른 조건에서 적어도 ±50%의 범위로 변경될 수 있고, 여기서 그 범위의 종점은 포함되거나 제외된다. 조건 및/또는 구조를 특정화하지 않은 본 개시에서, 본 기술에 숙련된 자는 일상적인 실험으로 본 개시를 검토해볼 때 그 조건 및/또는 구조들을 쉽게 제공할 수 있다.
예 1
Si-N 결합을 갖는 유전체 층이 도 2에 예시된 시퀀스 및 도 1에 예시된 PEALD 장치를 사용해서 아래에 도시된 조건하에서 기판상에 형성된다.
트리실릴아민: 100 sccm
수소: 500 sccm
질소: 500, 1000, 2000 sccm
헬륨 공정: 1400 sccm
봉인된 헬륨: 500 sccm
아르곤: 500 sccm
기판 온도: 350℃
고주파 RF 전력(13.56 MHz의 주파수): 0.07 W/cm2
저주파 RF 전력(430 kHz의 주파수): 0.0 W/cm2
트리실릴아민 공급 시간: 0.3초 공급, 2.0 초 공급 중단
도 3a는 증착된 막의 스트레스 변화를 500, 1000, 및 2000 sccm의 질소 흐름으로써 도시한다. 스트레스가 약 0.7 GPa(인장성) 내지 1.0 GPa(압축성)의 범위내로 조정된다.
예 2
Si-N 결합을 갖는 유전체 층이 도 2에 예시된 시퀀스 및 도 1에 예시된 PEALD 장치를 사용해서 아래에 도시된 조건하에서 기판상에 형성된다.
트리실릴아민: 100 sccm
수소: 500 sccm
질소: 1000 sccm
헬륨 공정: 1400 sccm
봉인된 헬륨: 500 sccm
아르곤: 500 sccm
기판 온도: 350℃
고주파 RF 전력(13.56 MHz의 주파수): 0.043, 0.07, 0.1, 0.142 W/cm2 (각기 30, 50, 70, 100 W)
저주파 RF 전력(430 kHz의 주파수): 0.0 W/cm2
트리실릴아민 공급 시간: 0.3초 공급, 2.0 초 공급 중단
도 3b는 증착된 막의 스트레스 변화를 0.043, 0.07, 0.1, 0.142 W/cm2 의 고 주파 RF 전력으로써 도시한다. 스트레스가 약 0.6 GPa(인장성) 내지 1.0 GPa(인장성)의 범위내로 조정된다.
예 3
Si-N 결합을 갖는 유전체 층이 도 2에 예시된 시퀀스 및 도 1에 예시된 PEALD 장치를 사용해서 아래에 도시된 조건하에서 기판상에 형성된다.
트리실릴아민: 100 sccm
수소: 500 sccm
질소: 1000 sccm
헬륨 공정: 1400 sccm
봉인된 헬륨: 500 sccm
아르곤: 500 sccm
기판 온도: 350℃
고주파 RF 전력(13.56 MHz의 주파수): 0.07 W/cm2 (50W), 0.143 W/cm2 (100W)
저주파 RF 전력(430 kHz의 주파수): 0 내지 100%의 고주파 RF 전력
트리실릴아민 공급 시간: 0.3초 공급, 2.0 초 공급 중단
도 4는 상기 조건하에서 증착되는 증착된 막의 스트레스 변화를 도시한 그래프이다. 그 막들은 다양한 다른 LRF 전력 조건하에서 증착되어 0.07 W/cm2 의 HRF에서 -1496 MPa(압축성) 내지 1044 MPa(인장성)의 범위 및 0.142 W/cm2 의 HRF에서 -1684 MPa(압축성) 내지 966 MPa(인장성)의 범위인 스트레스 값을 갖는 막을 제공한다.
예 4
Si-N 결합을 갖는 유전체 층이 도 2에 예시된 시퀀스 및 도 1에 예시된 PEALD 장치를 사용해서 아래에 도시된 조건하에서 기판상에 형성된다.
트리실릴아민: 100 sccm
수소: 500 sccm
질소: 1000 sccm
헬륨 공정: 1400 sccm
봉인된 헬륨: 500 sccm
아르곤: 500 sccm
기판 온도: 250, 350, 400℃
고주파 RF 전력(13.56 MHz의 주파수): 0.142 W/cm2 (100W)
저주파 RF 전력(430 kHz의 주파수): 0 내지 100%의 고 주파수 RF 전력
트리실릴아민 공급 시간: 0.3초 공급, 2.0 초 공급 중단
도 5는 상기 설명된 조건하에서 증착되는 증착된 막의 스트레스 변화를 도시한 그래프이다. 그 막들은 다양한 다른 LRF 전력 및 기판 온도 조건하에서 증착된다. 그 증착된 막은 250℃에서 -921.8 MPa(압축성) 내지 669.4 MPa(인장성)의 범위, 350℃에서 -1684 MPa(압축성) 내지 966 MPa(인장성)의 범위, 및 400℃에서 -2108 MPa(압축성) 내지 887.2 MPa(인장성)의 범위인 스트레스 값을 갖는다.
도 6a 내지 6c는, HRF가 0.142 W/cm2 이고 LRF 전력 밀도를 달리할 때, 습식 에칭율 및 막 스트레스간의 관계를 도시한 것이다(도 6a, 6b 및 6c는 250℃, 350℃ 및 400℃의 기판 온도를 표시한다). 증착된 막의 스트레스가 기판 온도와 무관하게 LRF 전력을 증가시킴에 의해 인장성에서 압축성으로 점차 일정하게 변화된다. 또한, 막의 에칭율은, 스트레스가 인장성에서 압축성으로 감소될 때, (LRF 전력을 증가시킴에 의해)감소된다.
도 7은 LRF 전력 조건을 달리했을 때 증착된 막의 스트레스(MPa) 및 N-H 결합 영역을 도시한 그래프이다. N-H 결합 영역이 FTIR 스펙트라(도시 안된)에 의해 측정된다. N-H 구조들은 LRF 전력을 증가시킴에 의해 증가된다. 증착된 막의 그 구조들은 압축성 상태에 대해 책임을 진다. 그 구조들의 양이 감소함에 따라, 스트레스가 인장성을 증가시킨다.
상기 설명했듯이, 본 발명의 개시된 실시예중 적어도 하나에 따른 방법의 중요한 장점은 스트레스 조정된 질화 실리콘층 또는 나머지 Si-N 유전체 층이 LRF 전력 대 HRF 전력의 비율을 조작함에 의해 그 나머지 파라미터를 사실상 변화시킴이 없이 간단히 반도체 기판상에 형성되게 할 수 있다는 것이다. 유사한 방법으로, 막의 습식 에칭율이 조절될 수 있다. 환언하면, 그 스트레스는 LRF 전력 대 HRF 전력의 비율을 조절함에 의해 간단히 인장성 및 압축성간에 조정가능하다. 그러므로, n-p 채널 MOSFET 장치와 같은, 스트레스 및/또는 습식 에칭율을 달리하는 막의 박리를 요구하는, 막 구조들은 고 생산성으로 쉽게 생산된다. 질화 실리콘층 및 다른 Si-N 유전체 층은 상대적으로 낮은 기판 온도에서도 형성되어, 기판에 열적 손상을 발생함이 없이 생산성을 증가시켜서, 적용가능한 기판 형태를 확장시킨다. 또한, 본 발명의 실시예에 따른 방법은 두께를 정확하게 제어할 수 있고, 고 증착율을 이루고, 고 등각성 구조를 이룰 수 있다.
본 발명은 상기 설명된 실시예에 덧붙여서 다음의 실시예를 포함하나 그것으로 제한되지 않는다.
1) 변형된 PLEAD에 의해 Si-N 결합을 가지며 스트레스 조정된 유전체 막을 형성하는 방법은, ⅰ) 질소 및 수소를 함유한 반응 가스 및 첨가 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 것과; ⅱ) 고주파 RF 전력원 및 저주파 RF 전력원을 사용해서 RF 전력을 그 반응 공간에 인가하는 것, 및 ⅲ) 수소를 함유한 실리콘 전구체를 5초 미만의 지속 기간의 펄스로 그 반응 공간에 유입시키는 것 - 여기서 플라즈마를 여기시켜서 Si-N 결합을 가지며 스트레스 조정된 유전체 막을 기판에 형성함 - 을 포함한다.
2) 1)에 따라, 고 주파수 RF 전력원은 약 13.56 또는 27 MHz의 주파수를 갖는 고 주파수 RF 전력을 공급하고 저 주파수 RF 전력원은 약 400 내지 500 kHz 범위의 주파수를 갖는 저 주파수 RF 전력을 공급한다.
3) 1) 또는 2)에 따라, 고주파 RF 전력원은 0.04 내지 약 0.15 W/cm2 범위의 고주파 RF 전력을 공급한다.
4) 1) 내지 3)에 따라, 저주파 RF 전력원은 고주파 RF 전력에 의해 공급된 100% 이하 또는 0%의 저주파 RF 전력을 공급한다.
5) 1) 내지 4)에 따라, 그 기판은 그 위에 막을 증착하는 동안 0 내지 400℃ 온도로 유지된다.
6) 1) 내지 5)에 따라, 수소를 함유한 실리콘 전구체는 화학식 SiαHβXγ이고, 여기서 α,β 및 γ는 정수이고 γ는 제로를 포함하고, X는 N 및/또는 CmHm를 포함하고, 여기서 m 및 n는 정수이다.
7) 1) 내지 6)에 따라, 수소를 함유한 실리콘 전구체는 반응 가스 및 첨가 가스를 연속 유입하고 RF 전력을 연속 인가하는 동안 펄스로 유입된다.
8) 1) 내지 7)에 따라, 수소를 함유한 실리콘 전구체는 약 0.1 내지 3.0 초의 간격으로 약 0.1 내지 1.0 초의 펄스 지속기간을 갖는 펄스로 유입된다.
9) 8)에 따라, 펄스 지속기간이 그 간격보다 같거나 짧다.
10) 1) 내지 9)에 따라, 반응 가스는 N2 및 H2의 혼합물, NH3 및 H2의 혼합물, 및 질소-보론-수소 가스로 구성되는 그룹에서 선택된 적어도 하나이다.
11) 10)에 따라, 반응 가스는 N2 및 H2의 혼합물이고 여기서 그 혼합물의 N2/H2의 몰 흐름 속도 비율이 약 1/1 내지 10/1이다.
12) 10)에 따라, 반응 가스는 NH3 및 H2의 혼합물이고 여기서 그 혼합물의 NH3 및 H2의 몰 흐름 속도 비율이 약 1/1 내지 10/1이다.
13) 1) 내지 12)에 따라, 첨가 가스는 헬륨 및 아르곤의 혼합물 및 헬륨 및 크립톤의 혼합물로 구성되는 그룹에서 선택된 적어도 하나이다.
14) 13)에 따라, 첨가 가스는 헬륨 및 아르곤의 혼합물이고 여기서 헬륨/아르곤의 몰 흐름 속도 비율이 약 3/1 내지 20/1이다.
15) 13)에 따라, 첨가 가스는 헬륨 및 크립톤의 혼합물이고 여기서 헬륨/크립톤의 몰 흐름 속도 비율이 약 3/1 내지 20/1이다.
16) 1) 내지 15)에 따라, Si-N 결합을 가지며 스트레스 조정된 유전체 막이 증착 사이클당 약 1 내지 10Å 두께로 반도체 기판상에 형성된다.
17) 1) 내지 16)에 따라, 기판상에 적층하는 스트레스 조정된 유전체 막은 약 -2.0 내지 +1.5 GPa 두께를 갖는 다.
18) 1) 내지 17)에 따라, 스트레스 조정된 유전체 막이 질화 실리콘 막이다.
19) 1) 내지 18)에 따라, 기판상에 적층하는 스트레스 조정된 유전체 막은 적어도 80%의 등각성 또는 스텝 커버리지를 갖는다.
다양한 다수의 변형예가 본 발명의 정신을 벗어남이 없이 본 기술에 숙련된 자에 의해 가능하다. 그러므로, 본 발명의 형태는 예시만을 위한 것이고 본 발명의 위를 제한하지 않는다.
2 및 4: 평판 전극, 3: 반응 체임버,
6: 배기 파이프, 23: 가스 흐름 제어기,
31: 펄스 흐름 제어 밸브

Claims (20)

  1. 플라즈마 원자층 증착법(PEALD)에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 목표(target) 유전체 막을 형성하는 방법으로서, 상기 목표 유전체 막은 PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 기준(reference) 유전체 막의 스트레스 형태와 반대인 스트레스 형태로서 인장성 또는 압축성을 갖는 스트레스를 갖고, 그 방법은,
    (ⅰ) 질소 및 수소를 함유한 반응 가스와 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 단계;
    (ⅱ) 상기 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 갖는 상기 목표 유전체 막을 얻기 위해 LRF/HRF의 비율로 저주파 RF 전력(LRF) 및 고주파 RF 전력(HRF)의 합성인 RF 전력을 상기 반응 공간에 인가하는 단계(상기 비율은, 상기 기준 유전체 막의 스트레스 형태가 인장성을 가질 때, 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 증가시키거나, 상기 기준 유전체 막의 스트레스 형태가 압축성을 가질 때, 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 감소시켜 세트됨); 및
    (ⅲ) 상기 RF 전력 인가 시 수소를 함유한 실리콘 전구체를 펄스로 상기 반응 공간으로 유입시켜서, PEALD에 의해 상기 목표 유전체 막을 반도체 기판상에 형성하는 단계(여기서 그 세트 비율의 결과로서, 상기 목표 유전체 막이 상기 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 가짐)
    를 포함하는 유전체 막을 형성하는 방법.
  2. 제1항에 있어서,
    상기 LRF/HRF의 비율이 상기 목표 유전체 막의 스트레스 형태를 제어하는 기본 파라미터인 유전체 막을 형성하는 방법.
  3. 제2항에 있어서,
    상기 LRF/HRF의 비율이 상기 목표 유전체 막의 스트레스 형태를 제어하는 유일한 파라미터인 유전체 막을 형성하는 방법.
  4. 제1항에 있어서,
    상기 목표 유전체 막은 압축성 막 스트레스를 갖고,
    상기 단계(ⅱ)에서 상기 LRF/HRF의 비율은 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 증가시켜 세트되고, 증가된 상기 LRF/HRF의 비율은 2/10 내지 10/10인 유전체 막을 형성하는 방법.
  5. 제1항에 있어서,
    상기 목표 유전체 막은 인장성 막 스트레스를 갖고,
    상기 단계(ⅱ)에서 상기 LRF/HRF의 비율은 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 감소시켜 세트되고, 감소된 상기 LRF/HRF의 비율은 0/10 내지 4/10인 유전체 막을 형성하는 방법.
  6. 제1항에 있어서,
    상기 수소를 함유한 실리콘 전구체는 막을 형성하는 동안 약 0.5 내지 3초 간격으로 약 0.1 내지 1.0 초의 펄스 지속간격을 갖는 펄스로 유입되는 것인 유전체 막을 형성하는 방법.
  7. PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 목표(target) 유전체 막을 형성하는 방법을 제공하고, 상기 목표 유전체 막은 PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 갖는, 기준(reference) 유전체 막의 막 스트레스 값과 다른 막 스트레스 값을 갖고, 그 방법은,
    (ⅰ) 질소 및 수소를 함유한 반응 가스와 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 단계;
    (ⅱ) 상기 기준 유전체 막의 스트레스 형태와 반대인 스트레스 형태를 갖기 위해 LRF/HRF의 비율로 LRF 전력 및 HRF 전력의 합성인 RF 전력을 상기 반응 공간에 인가하는 단계(상기 비율은, 상기 기준 유전체 막의 막 스트레스 값 보다 낮은 막 스트레스 값을 얻기 위해 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 증가시키거나, 상기 기준 유전체 막의 막 스트레스 값 보다 높은 막 스트레스 값을 얻기 위해 상기 기준 유전체 막에 대해 사용된 LRF/HRF의 비율을 감소시켜 세트됨); 및
    (ⅲ) 상기 RF 전력 인가 시 수소를 함유한 실리콘 전구체를 펄스로 상기 반응 공간으로 유입시켜서, PEALD에 의해 목표 유전체 막을 반도체 기판상에 형성하는 단계(여기서 LRF/HRF의 비율이 상기 기준 유전체 막의 막 스트레스 값과 다른 목표 유전체 막의 막 스트레스 값을 차별화하는 기본 파라미터로서 사용됨)
    를 포함하는 유전체 막을 형성하는 방법.
  8. 제7항에 있어서,
    상기 LRF/HRF의 비율이 상기 목표 유전체 막의 막 스트레스 값을 다르게 하는 유일한 파라미터인 유전체 막을 형성하는 방법.
  9. PEALD에 의해 막의 주요 구조를 반도체 기판상에 구성하는, Si-N 결합을 각기 갖는, 다수의 유전체 막을 형성하는 방법을 제공하고, 그 다수의 유전체 막은 인장성 스트레스 막 및 압축성 스트레스 막을 포함하고, 상기 방법은,
    (ⅰ) 질소 및 수소를 함유한 반응 가스와 희귀 가스를 반도체 기판을 위치시킨 반응 공간 내부로 유입시키는 단계;
    (ⅱ) LRF/HRF의 비율로 LRF 전력 및 HRF 전력의 합성인 RF 전력을 상기 반응 공간에 인가하는 단계;
    (ⅲ) 상기 RF 전력 인가 시 수소를 함유한 실리콘 전구체를 펄스로 상기 반응 공간으로 유입시켜서, PEALD에 의해 그 인장성 및 압축성 스트레스 막들 중 하나를 반도체 기판상에 형성하는 단계; 및
    (ⅳ) 기본 파라미터로서 증착 막의 스트레스를 제어하고, LRF/HRF의 비율을 변화시키고, 변화된 LRF/HRF의 비율로 단계(ⅰ) 내지 (ⅲ)를 반복해서, 다른 인장성 및 압축성 스트레스 막을 반도체 기판상에 형성하는 단계
    를 포함하는 유전체 막을 형성하는 방법.
  10. 제9항에 있어서,
    상기 LRF/HRF의 비율 변화가 LRF/HRF의 비율을 증가시키고,
    상기 다른 인장성 또는 압축성 스트레스 막이 압축성 스트레스 막인 유전체 막을 형성하는 방법.
  11. 제10항에 있어서,
    상기 LRF/HRF의 비율의 그 증가된 비율이 2/10 내지 10/10인 유전체 막을 형성하는 방법.
  12. 제9항에 있어서,
    상기 LRF/HRF의 비율 변화가 LRF/HRF의 비율을 감소시키고,
    상기 다른 인장성 또는 압축성 스트레스 막이 인장성 스트레스 막인 유전체 막을 형성하는 방법.
  13. 제12항에 있어서,
    상기 LRF/HRF의 비율의 그 감소된 비율이 0/10 내지 4/10인 유전체 막을 형성하는 방법.
  14. 제9항에 있어서,
    단계(ⅳ)에서 증착 막의 스트레스를 제어하는 2차 파라미터로서 질소 대 수소의 비율을 변화시키는 단계를 더 포함하는 유전체 막을 형성하는 방법.
  15. 제9항에 있어서,
    단계(ⅳ)에서 증착 막의 스트레스를 제어하는 2차 파라미터로서 HRF의 양을 변화시키는 단계를 더 포함하는 유전체 막을 형성하는 방법.
  16. 제9항에 있어서,
    상기 수소를 함유한 실리콘 전구체는 실리콘 및 수소의 화합물; 실리콘, 수소, 및 질소의 화합물; 또는 실리콘, 수소, 카본, 및 질소의 화합물로 구성되는 것인 유전체 막을 형성하는 방법.
  17. 제16항에 있어서,
    상기 반응 가스는 질소 가스 및 수소 가스의 화합물; 또는 암모니아 가스 및 수소 가스의 화합물인 유전체 막을 형성하는 방법.
  18. 제9항에 있어서,
    상기 수소를 함유한 실리콘 전구체가 막을 형성하는 동안 약 0.5 내지 3 초 간격으로 약 0.1 내지 1.0 초의 펄스 지속기간을 갖는 펄스로 유입되는 것인 유전체 막을 형성하는 방법.
  19. 제9항에 있어서,
    상기 반응 가스, 상기 희귀 가스 및 상기 수소를 함유한 실리콘 전구체는 서로 다른 것인 유전체 막을 형성하는 방법.
  20. 제9항에 있어서,
    상기 희귀 가스의 몰 흐름 비율이 수소를 함유한 실리콘 소스의 몰 흐름 비율보다 더 큰 것인 유전체 막을 형성하는 방법.
KR1020100067768A 2009-07-15 2010-07-14 변형된 플라즈마 원자층 증착법에 의해 규소-질소 결합을 가지며 스트레스 조정된 유전체 막을 형성하는 방법 KR101708936B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22580009P 2009-07-15 2009-07-15
US61/225,800 2009-07-15

Publications (2)

Publication Number Publication Date
KR20110007056A true KR20110007056A (ko) 2011-01-21
KR101708936B1 KR101708936B1 (ko) 2017-02-21

Family

ID=43465607

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100067768A KR101708936B1 (ko) 2009-07-15 2010-07-14 변형된 플라즈마 원자층 증착법에 의해 규소-질소 결합을 가지며 스트레스 조정된 유전체 막을 형성하는 방법

Country Status (3)

Country Link
US (1) US8334219B2 (ko)
JP (1) JP2011023718A (ko)
KR (1) KR101708936B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013036953A2 (en) * 2011-09-09 2013-03-14 Applied Materials, Inc. Multiple frequency sputtering for enhancement in deposition rate and growth kinetics dielectric materials
KR20160116216A (ko) * 2015-03-27 2016-10-07 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
WO2017026676A1 (ko) * 2015-08-12 2017-02-16 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
KR20180107693A (ko) * 2017-03-22 2018-10-02 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기억 매체

Families Citing this family (398)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8101531B1 (en) * 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5473962B2 (ja) 2011-02-22 2014-04-16 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5733623B2 (ja) * 2011-06-10 2015-06-10 国立大学法人九州大学 半導体装置の製造方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130022658A1 (en) 2011-07-23 2013-01-24 Synos Technology, Inc. Depositing material with antimicrobial properties on permeable substrate using atomic layer deposition
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN102800709B (zh) * 2012-09-11 2015-07-01 深圳市华星光电技术有限公司 薄膜晶体管主动装置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6078279B2 (ja) * 2012-09-20 2017-02-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9016837B2 (en) 2013-05-14 2015-04-28 Stmicroelectronics, Inc. Ink jet printhead device with compressive stressed dielectric layer
US9016836B2 (en) 2013-05-14 2015-04-28 Stmicroelectronics, Inc. Ink jet printhead with polarity-changing driver for thermal resistors
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP5807084B2 (ja) 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
CN108713243B (zh) * 2016-03-11 2022-11-01 大阳日酸株式会社 硅氮化膜的制造方法及硅氮化膜
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP2018012851A (ja) * 2016-07-19 2018-01-25 株式会社ユーテック プラズマcvd装置及び成膜方法
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP7018288B2 (ja) 2017-10-10 2022-02-10 東京エレクトロン株式会社 成膜方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP2020066764A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
WO2020236303A1 (en) * 2019-05-23 2020-11-26 Applied Materials, Inc. In-situ atomic layer deposition process
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2021073369A (ja) * 2020-12-25 2021-05-13 アドバンストマテリアルテクノロジーズ株式会社 プラズマcvd装置及び成膜方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008121463A1 (en) * 2007-03-30 2008-10-09 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2009088421A (ja) * 2007-10-03 2009-04-23 Renesas Technology Corp 半導体装置の製造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
US8415259B2 (en) * 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008121463A1 (en) * 2007-03-30 2008-10-09 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2009088421A (ja) * 2007-10-03 2009-04-23 Renesas Technology Corp 半導体装置の製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013036953A2 (en) * 2011-09-09 2013-03-14 Applied Materials, Inc. Multiple frequency sputtering for enhancement in deposition rate and growth kinetics dielectric materials
WO2013036953A3 (en) * 2011-09-09 2013-05-02 Applied Materials, Inc. Multiple frequency sputtering for enhancement in deposition rate and growth kinetics dielectric materials
CN103814431A (zh) * 2011-09-09 2014-05-21 应用材料公司 用于介电材料的沉积速率提高和生长动力学增强的多频溅射
KR20160116216A (ko) * 2015-03-27 2016-10-07 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
WO2017026676A1 (ko) * 2015-08-12 2017-02-16 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
KR20180107693A (ko) * 2017-03-22 2018-10-02 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기억 매체

Also Published As

Publication number Publication date
JP2011023718A (ja) 2011-02-03
US8334219B2 (en) 2012-12-18
KR101708936B1 (ko) 2017-02-21
US20110014795A1 (en) 2011-01-20

Similar Documents

Publication Publication Date Title
KR20110007056A (ko) 변형된 플라즈마 원자층 증착법에 의해 규소-질소 결합을 가지며 스트레스 조정된 유전체 막을 형성하는 방법
US11289326B2 (en) Method for reforming amorphous carbon polymer film
US9396956B1 (en) Method of plasma-enhanced atomic layer etching
CN110431661B (zh) 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
US8669185B2 (en) Method of tailoring conformality of Si-containing film
US8722546B2 (en) Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US20120058282A1 (en) Method of Forming Conformal Film Having Si-N Bonds on High-Aspect Ratio Pattern
US8142862B2 (en) Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) Method of forming conformal dielectric film having Si-N bonds by PECVD
US8912101B2 (en) Method for forming Si-containing film using two precursors by ALD
US10378106B2 (en) Method of forming insulation film by modified PEALD
US8895108B2 (en) Method for forming thin film using radicals generated by plasma
KR101732187B1 (ko) 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US20100184302A1 (en) Method of Forming Conformal Dielectric Film Having Si-N Bonds by PECVD
US8784950B2 (en) Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US8465811B2 (en) Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8173554B2 (en) Method of depositing dielectric film having Si-N bonds by modified peald method
US9018108B2 (en) Low shrinkage dielectric films
US20120220139A1 (en) Method of depositing dielectric film by modified peald method
US20130224964A1 (en) Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
KR20200128445A (ko) H2 플라즈마를 사용하는 유동성 막 경화
JP2022525438A (ja) 処理チャンバ内でのプラズマの高密度化
US10559459B2 (en) Method for producing silicon nitride film and silicon nitride film
US20230203661A1 (en) Apparatus and method for performing plasma enhanced atomic layer deposition employing very high frequency
US20230377875A1 (en) Directional selective deposition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right