KR20090037495A - 에칭 공정을 실행하기 전에 마스크층을 처리하는 방법 - Google Patents

에칭 공정을 실행하기 전에 마스크층을 처리하는 방법 Download PDF

Info

Publication number
KR20090037495A
KR20090037495A KR1020097004272A KR20097004272A KR20090037495A KR 20090037495 A KR20090037495 A KR 20090037495A KR 1020097004272 A KR1020097004272 A KR 1020097004272A KR 20097004272 A KR20097004272 A KR 20097004272A KR 20090037495 A KR20090037495 A KR 20090037495A
Authority
KR
South Korea
Prior art keywords
plasma
mask layer
power
etching
electron beam
Prior art date
Application number
KR1020097004272A
Other languages
English (en)
Other versions
KR101346897B1 (ko
Inventor
피터 엘.지. 벤체크
리 첸
아키라 고시이시
이쿠오 사와다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/499,678 external-priority patent/US7449414B2/en
Priority claimed from US11/499,679 external-priority patent/US7572386B2/en
Priority claimed from US11/499,680 external-priority patent/US7642193B2/en
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090037495A publication Critical patent/KR20090037495A/ko
Application granted granted Critical
Publication of KR101346897B1 publication Critical patent/KR101346897B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기부의 박막을 에칭하기 전에 마스크층을 사전 처리하는 방법을 개시한다. 탄도 전자 빔에 의해 지원되는 플라즈마를 이용하여 유전체 막과 같은 박막을 에칭하고 있다. 라인 에지 러프니스(LER; Line Edge Roughness) 효과와 같은 패턴 선명도의 손실을 줄이기 위하여, 에칭 공정을 실시하기에 앞서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 의해 마스크층을 처리한다.

Description

에칭 공정을 실행하기 전에 마스크층을 처리하는 방법{METHOD OF TREATING A MASK LAYER PRIOR TO PERFORMING AN ETCHING PROCESS}
본 발명은 플라즈마 처리 시스템 내에서 기판 상의 박막을 에칭하는 방법에 관한 것으로, 보다 구체적으로 탄도 전자 빔에 의해 지원되는 플라즈마를 이용하여 박막을 에칭하기 전에 박막 상의 마스크층을 처리하는 방법에 관한 것이다.
반도체 처리 중에, (건식) 플라즈마 에칭 공정을 활용하여 실리콘 기판 상에 패터닝된 비아(via) 또는 콘택트(contact) 내에서 또는 미세 라인을 따라 재료를 제거하거나 에칭할 수 있다. 플라즈마 에칭 공정은 일반적으로, 처리 챔버 내에서 보호층, 예컨대 포토레지스트층이 위에 패터닝되어 있는 반도체 기판을 위치 결정하는 것을 포함한다. 일단 챔버 내에서 기판이 위치 결정되면, 진공 펌프를 조절하여 주변 공정 압력을 달성하면서, 이온화 가능한 해리성 가스 혼합물을 미리 정한 유량으로 챔버 내로 도입한다.
그 후, 존재하는 가스종의 일부가, 고주파(RF) 파워의 유도형 또는 용량형 전달을 통해, 또는 예컨대 전자 사이클로트론 공명(ECR : Electron Cyclotron Resonance)을 이용한 마이크로파 파워의 전달을 통해 가열된 전자에 의해 이온화될 때, 플라즈마가 형성된다. 또한, 가열된 전자는, 주변 가스 종의 일부 종을 해리 하고 노출된 표면 에칭 화학반응(chemistry)에 적합한 반응 종을 생성하는 작용을 한다. 일단 플라즈마가 형성되면, 그 플라즈마에 의해 기판의 선택 표면이 에칭된다. 이 공정은, 기판의 선택 영역에서 다양한 피처(예컨대, 트렌치, 비아, 콘택트 등)를 에칭하기 위해, 바람직한 반응물과 이온 집단의 적절한 농도를 포함한, 적절한 상태를 달성하도록 조정된다. 에칭이 필요할 수 있는 예시적인 기판 재료로는, 실리콘 이산화물(SiO2), 저유전율(low-k) 재료, 폴리실리콘 및 실리콘 질화물이 있다.
본 발명의 목적은, 유전체를 에칭하기 위한 개선된 방법 및 시스템을 제공하는 것이다.
본 발명의 다른 목적은, 에칭 공정을 용이하게 하도록 패터닝된 마스크층을 처리하는 개선된 방법 및 시스템을 제공하는 것이다.
본 발명의 이들 및/또는 다른 목적은, 기판 상에 형성되고 위에 패터닝된 마스크층을 갖는 박막을 에칭하는 방법에 의하여 달성된다. 이러한 방법은, 마스크층을 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 마스크층을 처리하는 처리 단계와, 이 마스크층을 처리하는 처리 단계에 이어서, 마스크층의 패턴을 박막에 전사하도록 박막을 에칭하는 에칭 단계를 포함한다. 상기 에칭 단계는, 공정 가스로부터 플라즈마 처리 시스템 내에 플라즈마를 형성하는 단계와, 플라즈마 처리 시스템 내에 에칭 단계 중의 플라즈마를 지원하는 전자 빔을 형성하도록 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하는 단계와, 기판을 플라즈마 및 전자 빔에 노출시키는 단계를 포함한다.
본 발명의 다른 양태는, 기판 상에 형성되고 위에 마스크층이 패터닝되어 있는 박막을 에칭하는 방법을 포함한다. 이러한 방법은, 플라즈마 및 탄도 전자 빔을 형성하도록 구성된 플라즈마 처리 시스템 내의 기판 홀더 상에 기판을 제공하는 제공 단계와, 마스크층을 탄도 전자 빔을 형성하지 않은 상태에서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 플라즈마 처리 시스템 내에서 마스크층을 처리하는 처리 단계를 포함한다. 또한, 이러한 방법은, 마스크층을 처리하는 처리 단계에 이어서, 박막을 에칭하여 박막에 패터닝된 마스크층의 패턴을 전사하도록 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계를 포함한다.
본 발명의 또 다른 양태에 있어서, 기판을 에칭하도록 구성된 플라즈마 처리 시스템은, 처리 챔버와, 이 처리 챔버에 가스를 공급하도록 구성된 가스 공급 시스템과, 처리 챔버에 결합되어 기판을 지지하도록 구성된 기판 홀더와, 처리 챔버의 내부에 설치된 전극을 포함한다. AC 파워 시스템이 처리 챔버에 결합되고, 적어도 하나의 AC 신호를 기판 홀더 또는 전극, 또는 양쪽에 결합하여 처리 챔버 내에 플라즈마를 형성하도록 구성되며, DC 파워 시스템이 처리 챔버에 결합되고, DC 전압을 전극에 결합하여 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된다. 컨트롤러가 가스 공급 시스템을 제어하도록 구성되며, AC 파워 시스템과 DC 파워 시스템은, 마스크층을, 탄도 전자 빔을 형성하지 않은 상태에서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 플라즈마 처리 시스템 내에서 마스크층을 처리하는 처리 단계와, 이 마스크층을 처리하는 처리 단계에 이어서, 박막을 에칭하여 박막에 패터닝된 마스크층의 패턴을 전사하도록 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계를 실행한다.
도 1은 본 발명의 실시예에 따른 플라즈마 처리 시스템의 개략도를 도시하고,
도 2는, 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고,
도 3은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고,
도 4는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고,
도 5는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고,
도 6은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고,
도 7은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략 선도를 도시하고,
도 8은 본 발명의 실시예에 따라 플라즈마를 이용하여 기판을 처리하는 방법을 나타낸다.
이하의 설명에서는, 한정의 의도가 없는 설명을 목적으로, 플라즈마 처리 시스템의 특정 기하형상 및 각종 공정과 같은 특정의 세부 사항을 설명하고 있다. 그러나 본 발명을 이들 특정의 세부 사항으로부터 벗어나는 다른 실시예로 실행할 수도 있다는 것을 이해해야 한다.
재료 처리 방법에 있어서, 패턴 에칭은 포토레지스트와 같은 감광성 재료의 박층을 기판의 상면에 도포하는 것을 포함하고, 후속하여 이 박층을 패터닝하여 에칭 중에 기판 상의 기부의 박막에 패턴을 전사하기 위한 마스크를 제공한다. 일반적으로 감광성 재료의 패터닝은, 예컨대 마이크로-리소그래피 시스템을 이용하여 감광성 재료를 레티클(관련 광학 기구)을 통하여 복사원에 의해 노출시키는 것을 포함하며, 후속하여 (포지티브 포토레지스트의 경우에서와 같이) 감광성 재료의 조사 영역을 제거하거나, 현상 용매를 이용하여 (네거티브 레지스트의 경우에서와 같이) 비조사 영역을 제거한다. 또한, 이 마스크층은 복수의 서브층(sublayer)을 포함할 수 있다. 예컨대, 마스크층은 포토레지스트와 같은 감광성 재료의 층과, 기부의 반사 방지 코팅(ARC; anti-reflective coating) 층을 포함할 수도 있다.
패턴 에칭 중에는, 주로 건식 플라즈마 에칭 공정을 활용하며, 이 공정에서는, 전자를 가열하고 공정 가스의 원자 및/또는 분자 조성물의 후속 이온화 및 해 리를 유도하도록 고주파(RF) 파워와 같은 전자기(EM) 에너지를 공정 가스에 결합함으로써 공정 가스로부터 플라즈마를 형성하고 있다. 또한, 음의 고전압 직류(DC) 파워를 플라즈마 처리 시스템에 결합하여, RF 사이클의 일부, 즉 결합된 RF 파워의 양의 1/2 사이클 중에 기판 표면에 부딪히는 활동적인(탄도) 전자 빔을 생성할 수 있다. 탄도 전자 빔은, 예컨대 (에칭되는) 기부의 박막과 마스크층 사이의 에칭 선택도를 향상시킴으로써 건식 플라즈마 에칭 공정의 특성을 개선하여, 전자 쉐이딩 손상(electron shading damage) 등과 같은 대전에 의한 손상(charging damage)을 줄일 수 있는 것을 관찰하였다. 마스크층을 개질시키는 탄도 전자에 기인하여 건식 플라즈마 에칭 공정이 강화되는 것으로 생각되며, 예컨대 에칭 공정에 대한 저항성이 강하게 되어 에칭 선택도가 개선된다. 탄도 전자 빔의 생성에 관한 추가의 상세 내용은, 발명의 명칭이 "Plasma processing apparatus and method"이고 미국 특허 출원 공개 번호가 2006/0037701A1인 계류 중의 미국 특허 출원 제11/156,559호에 개시되어 있으며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다.
이제 도 1을 참조하면, 탄도 전자 빔을 채용하는 플라즈마 처리 시스템의 개략도가 도시되어 있다. 플라즈마 처리 시스템은 처리 챔버 내에 서로 대향하게 배치된 제1 전극(120) 및 제2 전극(172)을 구비하며, 제1 전극(120)은 기판(125)을 지지하도록 구성되어 있다. 제1 전극(120)은 제1 RF 주파수의 RF 파워를 제공하도록 구성된 제1 RF 발생기(140)에 결합되어 있고, 제2 전극(172)은 제1 RF 주파수와 동일할 수도 있고 상이할 수도 있는 제2 RF 주파수의 RF 파워를 제공하도록 구성된 제2 RF 발생기(170)에 결합되어 있다. 예컨대, 제2 RF 주파수는 제1 RF 주파수보다 비교적 높은 RF 주파수일 수 있다. 제1 및 제2 전극에 RF 파워를 결합함으로써, 플라즈마(130)의 생성이 용이하게 된다.
또한, 플라즈마 처리 시스템은 제2 전극(172)에 DC 전압을 공급하도록 구성된 DC 파워 서플라이(150)를 구비한다. 제2 전극(172)에 (예컨대) 음의 DC 전압을 결합함으로써, 탄도 전자 빔(135)의 생성이 용이하게 된다. 전자 빔 파워는 제2 전극(172) 상에서의 음의 DC 전압의 중첩으로부터 유도된다. 미국 특허 출원 공개 2006/0037701A1에 개시되어 있는 바와 같이, 플라즈마 처리 시스템에 음의 DC 파워를 인가하는 것은, 기판(125)의 표면에 부딪히는 탄도(또는 비충돌) 전자 빔의 형성에 영향을 끼친다.
일반적으로, 탄도 전자 빔은 이하에서 설명하는 바와 같이, 임의의 유형의 플라즈마 처리 시스템으로 실현될 수 있다. 본 예에서는, RF 파워식의 용량 결합형 플라즈마(CCP: Capacitively Coupled Plasma) 처리 시스템 상에서 음의 DC 전압이 중첩되고 있다. 그러므로 본 발명은 이러한 예로 제한되지 않는다. 이 예는 단지 예시 목적으로 활용되는 것이다.
탄도 전자 빔이 에칭 특성을 개선시키는 데에 있어서 중요하기는 하지만, 본원의 발명자들은, 많은 경우에 탄도 전자 빔을 이용하면 찰은 또는 비정상 패턴[주로 "라인 에지 러프니스(LER; line edge roughness)"]이 초래되어 마스크층 내에서 전개되는 것을 관찰하였다. 특히, 본원의 발명자들은, 비교적 적은 폴리머를 형성 하는(예컨대, 비교적 낮은 CF2 라디칼 함량의) 에칭 화학물질(예컨대, CF4 화학물질)에서 LER이 가장 빈번하게 발생하고, 비교적 많은 폴리머를 형성하는(예컨대, 비교적 높은 CF2 라디칼 함량의) 에칭 화학물질(예컨대, C4F8 또는 C5F8 화학물질)에서는 LER이 그다지 발생하지 않는 것을 관찰하였다. 이러한 비정상 패턴 및 측벽 거칠음은, 현재의 에칭 공정 및/또는 후속 에칭 공정 중에 기부의 층에 전사될 수 있다. 예컨대, 탄도 전자 빔 지원 플라즈마와 같은 결합 파괴 여기(bond-breaking excitation)를 갖는 에칭 공정에 기판이 처음 노출되는 때에, 마스크층이 개질되어 마스크층에 형성된 패턴에 측벽 거칠음(또는 비정상 패턴)이 나타날 수 있으며, 이러한 측벽 거칠음은 에칭 공정이 진행됨에 따라 에칭 막에 전사된다. 이로 인하여, 제조 수율의 저하 및/또는 장치 성능 및 신뢰성의 저하가 초래될 수 있다.
본원의 발명자들은, 전술한 LER 문제의 원인을 규명하기 위한 노력으로 탄도 전자 빔 지원 플라즈마의 특성을 연구하였다. 본원의 발명자들은, 포토레지스트층과 같은 마스크층을 활동적인 전자 빔(예컨대, 약 100 eV를 넘는 전자 에너지)에 장기간 노출시키면 마스크층이 개질되어 전술한 바와 같이 에칭 공정을 개선할 수 있지만, 전자 빔에 대한 초기 노출로 인하여 전자 유도 결함을 비롯한 손상이 초래될 수 있는 것으로 믿고 있는데, 그러한 손상은, 원자 할로겐 종이 존재할 때에 마스크층에 (LER로서 지칭되는) 찰은의 형성을 초래할 수도 있는 것이다. 예컨대, 마스크층이 전술한 불소 함유 에칭 화학물질에 노출되면, 마스크층의 표면층에서의 화학 결합의 분열로 인하여, (원자 불소에 의한) 불소 산화와, 마스크층의 표면으 로부터 (입사 전자의 에너지에 의해 결정되는 깊이로) 탄소, 수소 및 산소의 제거가 초래되는 것으로 생각된다. 일반적으로, 본원의 발명자들은, 통상의 탄도 전자 빔에 의한 에칭 공정에 있어서는, 탄도 전자 빔의 존재 상태에서 마스크층을 원자 할로겐 종에 후속 노출시키는 것은 에칭 공정에 유리할 수도 있지만, 탄도 전자 빔의 존재 상태에서 원자 할로겐 종에 마스크층을 초기에 노출시키는 것은 LER을 초래할 수 있다고 생각하고 있다.
따라서 본원의 발명자들은, 에칭 공정을 실시하기 이전에 마스크층을 처리하는 것이 에칭 공정 중의 LER의 전개를 줄일 수 있을 것으로 기대하고 있다. 마스크층은 실리콘 함유층을 포함할 수도 있고 실리콘 비함유층을 포함할 수도 있다. 또한, 마스크층은 포토레지스트와 같은 감광성 재료를 포함할 수도 있다. 예컨대, 마스크층은 248 나노미터(㎚)의 포토레지스트, 193 ㎚의 포토레지스트, 157 ㎚의 포토레지스트, 또는 EUV(extreme ultraviolet) 포토레지스트, 또는 이들의 2 이상의 조합을 포함할 수도 있다.
일 실시예에 따르면, 마스크층에 형성된 패턴을 기부의 박막에 전사하는 에칭 공정을 실시하기에 앞서, 패터닝된 마스크층을, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킨다. 마스크층을, 활동적인 전자 및 활동적인 광자와 같이 결합 파괴 여기가 없는 상태에서 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 의해 처리한다. 바람직하게는, 처리 플라즈마는 활동적인 이온(즉, 기판 상의 저에너지 이온)이 패터닝된 마스크에 거의 또는 전혀 충돌하지 않게 되는 플라즈마이다. 이에 따라, 플라즈마 소스에 공급되는 고주파(RF) 또는 마이크로파 파워는 산소 또는 할로겐 가스를 해리 및 이온화시키고, 희가스를 이온화시키기에 충분한 파워 레벨로 제공되는 것이 바람직하다. 일 실시예에서, 플라즈마 소스에 공급되는 파워는 약 2000 W 이하이다. 바람직하게는, 플라즈마 소스에 공급되는 파워는 약 500 W 이하이다. 또한, 기판 전극에 공급되는 바이어스 파워는 약 500 W 미만이고, 바람직하게는 약 100 W 미만이다. 더 바람직하게는, 바이어스 파워는, 기판 전극에 실질적으로 파워가 인가되지 않게 하는 파워이다. 또한, 플라즈마 처리는 약 1초 내지 30초 동안, 바람직하게는 약 2초 내지 20초, 예컨대 약 10초 동안 실시된다.
도 1에 도시된 플라즈마 처리 시스템과 같이 에칭 공정에 사용되는 플라즈마 처리 시스템 내에서 마스크층의 노출을 실행할 수도 있고, 에칭 공정을 실행하는 플라즈마 처리 시스템 이외의 다른 기판 처리 시스템에서 마스크층의 노출을 실행할 수도 있다. 에칭 공정 중에 플라즈마의 생성을 용이하게 하는 플라즈마 발생 시스템을 이용하여 현장에서 플라즈마를 생성할 수도 있고, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 또는 별도의 기판 처리 시스템에 결합되는 원격 플라즈마 발생 시스템을 이용하여 원격 위치에서 플라즈마를 생성할 수도 있다.
산소 함유 플라즈마는 O2, CO, CO2, NO, N2O, 또는 NO2, 또는 이들의 2 이상의 조합으로 형성될 수도 있다. 산소 함유 가스의 유량은 약 10 sccm(standard cubic centimeters per minute) 내지 약 1000 sccm, 예컨대 100 sccm 내지 300 sccm일 수 있다. 챔버 압력은 약 1 mTorr 내지 약 1000 mTorr, 바람직하게는 약 50 mTorr 내지 약 500 mTorr, 더 바람직하게는 약 100 mTorr 내지 약 500 mTorr일 수 있다. 산소 함유 플라즈마는 불활성 가스, 희가스, N2, H2 또는 CN을 더 포함할 수 있다. 본원의 발명자들은, 산소 함유 플라즈마를 사용함으로써 산소의 농도가 증가한 마스크층 내에 서브층의 형성을 촉진시킬 수 있는 것으로 믿고 있다. 본원의 발명자들은, 이와 같이 처리된 마스크층이 후속 에칭 공정에서 마스크층 내에서의 LER을 저감시키는 것을 도울 것으로 기대하고 있다. 예컨대, 실리콘 함유 마스크층의 경우에, "유리 형태(glassy)"(즉, SiOx) 서브층이 형성될 수 있는데, 이는 LER의 형성에 특히 탄력적인 것으로 기대되고 있다.
일례로서, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 내에서 산소 함유 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 산소 함유 가스의 유량; 약 100 mTorr 이상의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W; 그리고 약 10초의 처리 시간으로 한다. 다른 예로서, 마이크로파 파워 플라즈마 소스와 같은 원거리(원격) 플라즈마 소스를 이용하여, 산소 함유 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 산소 함유 가스의 유량; 약 100 mTorr 이상의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 약 1000 W의 마이크로파 파워; 그리 고 약 10초의 처리 시간으로 한다.
할로겐 함유 플라즈마는 Cl2, Br2, F2, HBr, HCl, HF, C2H4Br2, ClF3, NF3, SiCl4 또는 SF6, 또는 이들의 2 이상의 조합으로 형성될 수 있다. 할로겐 함유 가스의 유량은 약 10 sccm 내지 약 1000 sccm, 예컨대 약 100 sccm 내지 300 sccm일 수 있다. 챔버 압력은 약 1 mTorr 내지 약 1000 mTorr, 바람직하게는 약 20 mTorr 내지 약 500 mTorr, 더 바람직하게는 약 20 mTorr 내지 약 100 mTorr일 수 있다. 할로겐 함유 플라즈마는 불활성 가스, 희가스, N2, H2, 또는 CN을 더 포함할 수 있다. 또한, 할로겐 함유 플라즈마는 산소 함유 가스를 더 포함할 수 있다. 본원의 발명자들은, 활동적인 전자 빔이 없는 상태에서 할로겐 함유 플라즈마에 마스크층을 노출시키면, 마스크층의 표면층이 부동태화되고, 이에 따라 후속 에칭 공정에서 마스크층 내에서의 LER의 저감을 도울 것으로 기대하고 있다.
일례로서, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 내에서 할로겐 함유 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 할로겐 함유 가스의 유량; 약 25 mTorr 내지 약 50 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 100 W 내지 약 500 W; 그리고 약 10초의 처리 시간으로 한다. 다른 예로서, 마이크로파 파워 플라즈마 소스와 같은 원거리(원격) 플라즈마 소스를 이용하여, 할로겐 함유 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 할로겐 함유 가스의 유량; 약 100 mTorr 이상의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 약 1000 W의 마이크로파 파워; 그리고 약 10초의 처리 시간으로 한다.
희가스 플라즈마는 희가스, 예컨대 He, Ne, Ar, Xe, Kr 또는 이들의 2 이상의 조합으로 형성될 수 있다. 희가스의 유량은 약 10 sccm 내지 약 1000 sccm, 예컨대 약 100 sccm 내지 300 sccm일 수 있다. 챔버 압력은 약 1 mTorr 내지 약 1000 mTorr, 바람직하게는 약 50 mTorr 내지 약 500 mTorr, 더 바람직하게는 약 50 mTorr 내지 약 200 mTorr일 수 있다. 본원의 발명자들은, 희가스 플라즈마를 사용함으로써, 마스크층 상에 탄소 농후, 또는 "탄화(carbonized)"[즉, 예컨대 O 및 H가 결여된] 표면층의 형성을 촉진시킬 수 있는 것으로 믿고 있다. "탄화" 표면층은, 마스크층에 부딪히는 이온의 이온 에너지에 따라, 마스크층 내로 수 나노미터(㎚)(예컨대 1 ㎚ 내지 10 ㎚) 연장할 수 있다. 예컨대, 약 25 eV 내지 약 50 eV 범위의 에너지를 갖는 이온은 약 1 ㎚ 내지 약 2 ㎚로 관통한다. 본원의 발명자들은, 이렇게 처리된 마스크층이, 후속 에칭 공정에서 마스크층 내에서 LER을 저감시키는 것에 도움이 될 것으로 기대하고 있다.
일례로서, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 내에서 희가스 플라즈마에 의한 마스크층의 처리를 실행한다. 공정 조건으로는, 약 100 sccm 내지 약 300 sccm의 희가스의 유량; 약 25 mTorr 내지 약 50 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W 내지 약 1000 W; 그리고 약 10초의 처리 시간으로 한다.
다른 실시예에 따르면, 마스크층에 형성된 패턴을 기부의 박막에 전사하는 에칭 공정을 실행하기 전에 마스크층에 보호층을 형성하고 있다. 마스크층에 형성되는 보호층은 에칭 공정 중에 소모되거나 부분적으로 소모되는 재료의 층을 포함할 수 있고, 이로써 에칭 공정의 초기 단계 중에 마스크층을 보호할 수 있다. 대안으로, 마스크층에 형성되는 보호층은 에칭 공정 중의 에칭 저항을 증가시킬 수 있는데, 특히 에칭 공정의 초기 단계 중의 에칭 저항을 증가시킬 수 있다.
도 1에 도시된 플라즈마 처리 시스템과 같이 에칭 공정이 내부에서 실행되는 플라즈마 처리 시스템에서 마스크층에 보호층을 형성할 수 있고, 또는 에칭 공정이 내부에서 실행되는 플라즈마 처리 시스템 이외의 다른 기판 처리 시스템에서 노출을 실행할 수 있다. 에칭 공정 중에 플라즈마의 생성을 용이하게 하는 플라즈마 발생 시스템을 이용하여 현장에서 플라즈마를 생성할 수도 있고, 에칭 공정이 내부에서 실시되는 플라즈마 처리 시스템 또는 별도의 기판 처리 시스템에 결합되는 원격 플라즈마 발생 시스템을 이용하여 원격 위치에서 플라즈마를 생성할 수도 있다.
마스크층에 보호층을 형성할 때에는, 증착 가스 플라즈마를 활용하고, 이때에 마스크층을 증착 가스 플라즈마에 노출시키면, 기판 표면에 재료의 순 증착이 발생한다. 마스크층 상에 보호층을 형성하는 데에는, 하이드로카본 함유 플라즈마(즉, CxHy 함유 플라즈마, 여기서 x 및 y는 1 이상의 정수를 나타냄), 또는 플루오로카본 함유 플라즈마(CxFz 함유 플라즈마, 여기서 x 및 z는 1 이상의 정수를 나 타냄), 또는 하이드로플루오로카본 함유 플라즈마(CxHyFz 함유 플라즈마, 여기서 x, y 및 z는 1 이상의 정수를 나타냄), 또는 이들의 2 이상의 조합과 같은 증착 가스 플라즈마에 마스크층을 노출시키는 것을 포함할 수 있다. 마스크층은, 활동적인 전자 또는 활동적인 광자와 같은 결합 파괴 여기가 없는 상태에서 증착 가스 플라즈마에 의해 처리된다. CxHy 함유 플라즈마는, C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 또는 C6H12, 또는 이들의 2 이상의 조합을 이용하여 형성될 수 있다. CxFz 함유 플라즈마는, C2F6, CF4, C3F8, C4F8, C5F8, 또는 C4F6, 또는 이들의 2 이상의 조합을 이용하여 형성될 수 있다. CxHyFz 함유 플라즈마는, CH3F, C2HF5, CH2F2, 또는 CHF3, 또는 이들의 2 이상의 조합을 이용하여 형성될 수 있다.
전술한 증착 가스 중 하나 이상을 이용하여 마스크층 상에 하이드로카본, 플루오로카본, 또는 이들의 조합의 보호층을 형성하기 위하여 공정 조건을 선택한다. 공정 조건은, 마스크층에 형성된 패턴이 고립되거나 또는 가늘어지지 않도록 선택되어야 한다. 보호층은 플랫-필드(flat-field)를 덮을 수 있다. 또한, 보호층은 패턴 상에 약간의 오버행(overhang)을 포함할 수고, 마스크층 내에 패턴의 측벽의 약간의 커버리지(coverage)를 더 포함할 수 있다. 예컨대, 공정 조건은 스퍼터링이 거의 또는 전혀 없이(즉, 기판 표면에서의 이온 에너지가 작게) 이온에 의한 구동력을 이용한 증착 플라즈마(ion-driven depositing plasma)(즉, 이온화 종의 증 착)를 형성하도록 선택되어야 한다. 증착 가스의 유량은 약 10 sccm 내지 약 1000 sccm, 바람직하게는 약 100 sccm 내지 약 300 sccm, 예컨대 약 200 sccm일 수 있다. 챔버 압력은 약 1 mTorr 내지 약 1000 mTorr, 바람직하게는 약 50 mTorr 내지 약 500 mTorr, 더 바람직하게는 약 50 mTorr 내지 약 200 mTorr일 수 있다. 또한, 증착 가스 플라즈마는 희가스와 같은 희석 가스를 더 포함할 수 있다. 예컨대, 증착 가스의 유량은 가스 혼합물의 약 1% 내지 약 20%의 범위일 수 있고, 그 나머지를 희석 가스의 유량이 점유한다. 또한, 예컨대, 증착 가스의 유량은 가스 혼합물의 약 5% 내지 약 10%의 범위일 수 있고, 그 나머지를 희석 가스의 유량이 점유한다. 아울러, 증착 가스는 H2, O2, CO, CO2, NO, N2O, NO2, N2, CN, 또는 불활성 가스, 또는 이들의 2 이상의 조합을 또한 포함할 수도 있다.
일례로서, CF(즉, CxFz) 폴리머를 증착할 때에는, CF4와 함께 또는 CF4는 없이, C4F8 또는 C4F6과 같은 증착 가스를 사용할 수 있다. 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 희석 가스의 유량; 희석 가스의 유량의 약 1% 내지 약 20%의 증착 가스의 유량; 약 50 mTorr 내지 약 200 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W 내지 약 1500 W; 그리고 약 수 ㎚ 내지 약 200 ㎚ 범위의 두께를 갖는 막을 형성하기에 충분한 처리 시간으로 한다.
다른 예로서, CH(즉, CxHy) 폴리머를 증착할 때에, 공정 조건으로는, 약 100 sccm 내지 약 500 sccm의 희석 가스의 유량; 희석 가스의 유량의 약 1% 내지 약 20%의 증착 가스의 유량; 약 50 mTorr 내지 약 200 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W 내지 약 1500 W; 그리고 약 수 ㎚ 내지 약 200 ㎚ 범위의 두께를 갖는 막을 형성하기에 충분한 처리 시간으로 한다.
본원의 발명자들은 CF 막이 에칭 공정 중에 상대적으로 큰 에칭 저항을 제공할 수 있는 것으로 생각하기 때문에, CH 막에 대한 보호층의 필요 두께가 CF 막에 대한 보호층의 필요 두께에 비하여 클 수 있다. 보호층의 최소 두께는, 에칭 공정 중에 대전된 종의 관통 깊이에 따라 선택되어야 한다. 예컨대, 1 keV 전자 빔에 대해서는 약 50 ㎚ 두께의 막이 필요할 수 있고, 1.5 keV의 전자 빔에 대해서는 약 100 ㎚ 두께의 막이 필요할 수 있다.
또 다른 예로서, 마스크층 상에 보호층을 형성하는 데에는, 메탄올 또는 에탄올과 같은 알코올 중에 마스크층을 침지하는 것을 포함할 수 있다.
본원의 발명자들은, 하이드로카본계 화학물질 또는 하이드로플루오로카본계 화학물질을 이용하여 마스크층 상에 보호층을 형성하면, 마스크층의 표면에서 수소의 함량이 증가하여, 에칭 공정의 초기 단계 중에 활동적인 전자를 약하게 하는 것으로 기대하고 있다. 에칭 공정의 이들 초기 단계 중에 활동적인 전자 빔으로 인한 손상 효과를 완화시킴으로써, 희생층은 후속 에칭 공정에서 마스크층에서의 LER의 저감을 도울 수 있다. 또한, 본원의 발명자들은, 하이드로플루오로카본계 화학 물질 또는 플루오로카본계 화학물질을 이용하여 마스크층 상에 보호층을 형성하는 것이 에칭 공정 중에 마스크층에 추가의 에칭 저항을 제공하는 폴리머 막의 형성을 용이하게 하는 것으로 기대하고 있다. 개질된 마스크층에 대한 에칭 선택도의 향상은, 에칭 공정 후의 마스크층에서의 LER의 저감을 도울 수 있다.
또 다른 실시예에 따르면, 에칭 공정을 실시하기 전에, 원자 할로겐 종(즉, F, Cl, Br 등)이 없는 상태에서 전자 빔에 의해 마스크층을 처리한다. 본원의 발명자들은, 원자 할로겐 종이 없는 상태에서 마스크층을 전자 빔에 노출시키면, 마스크층의 표면층이 "경화(cure)"되거나 단단하게 되어, 에칭 공정 중에 마스크층이 LER의 형성에 덜 민감하게 한다.
마스크층을 전자 빔에 노출시키는 노출 과정을 도 1에 도시된 플라즈마 처리 시스템과 같이 에칭 공정이 내부에서 실행되는 플라즈마 처리 시스템에서 실행할 수도 있고, 그러한 노출 과정을, 에칭 공정이 내부에 실행되는 플라즈마 처리 시스템 이외의 다른 기판 처리 시스템에서 실행할 수도 있다. 예컨대, 전자 빔 소스가 (에칭 공정용의) 플라즈마 처리 시스템 또는 다른 기판 처리 시스템에 결합될 수 있고, 마스크층을 처리하는 전자 빔을 발생시키도록 구성될 수 있다.
대안으로, 예컨대, (도 1과, 이하의 도 2 내지 도 7에서 설명하는 바와 같은) 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하여 플라즈마를 형성함으로써 플라즈마 처리 시스템 내에 전자 빔을 발생시킬 수 있다. 도 1을 참조하면, 고주파(RF) 파워와 같은 교류(AC) 파워를 제1 전극(120), 또는 제2 전극(172), 또는 이들 양자에 결합함으로써 프리 에칭용 플라즈마를 형성할 수 있고, DC 파워 를 제2 전극(172)에 결합함으로써 프리 에칭용 전자 빔을 형성할 수 있다.
프리 에칭용 전자 빔을 이용하여, 에칭 공정 이전에 마스크층의 표면층을 처리할 수 있다. 처리 깊이는 약 1 ㎚ 내지 약 100 ㎚, 바람직하게는 약 5 ㎚ 내지 약 50 ㎚, 예컨대 10 ㎚일 수 있다. 이들 관통 범위는, 약 500 eV 내지 약 1.5 keV 범위의 전자 빔 에너지를 이용하여 얻을 수 있는 것이다. 프리 에칭용 전자 빔 에너지는 약 1.5 keV에 이를 수 있고, 바람직하게는 약 200 eV 내지 약 1.5 keV의 범위, 예컨대 500 eV일 수 있다. 프리 에칭용 전자 빔에 대한 노출은 약 1014 전자/㎠ 내지 약 1016 전자/㎠ 범위의 선량(線量)을 생성하도록 선택될 수 있다.
일례로서, 도 1의 플라즈마 처리 시스템 내에서 프리 에칭용 전자 빔을 형성한다. 공정 조건으로는, 약 100 sccm 내지 약 300 sccm의 희가스의 유량; 약 20 mTorr 내지 약 100 mTorr의 챔버 압력; (기판이 놓여 있는) 하부 전극에 RF 바이어스 파워가 거의 또는 전혀 공급되지 않음; 상부 전극(또는 유도 코일)에 공급되는 RF 파워가 약 500 W 내지 약 1000 W; 상부 전극에 공급되는 DC 전압이 약 -500 V 내지 약 -1000 V; 그리고 약 10초의 처리 시간으로 한다.
희가스(예컨대, He, Ne, Ar, Xe, Kr)와 같은 불활성 가스를 이용하여 프리 에칭용 플라즈마를 형성할 수 있다. 또한, 프리 에칭용 플라즈마는 CHF3를 더 포함할 수 있다. 플라즈마의 존재 하에서, CHF3의 해리는 CF2(예컨대, 폴리머 형성 라디칼) 및 (이온 결합된) HF의 집단을 생성하는 경향이 있다. 폴리머 형성 라디칼 은 전술한 바와 같은 희생층을 제공함으로써 마스크층의 처리에 유리할 수 있다. 그러나 전술한 LER 문제를 줄이면서 마스크층을 처리하기 위하여 플라즈마의 존재 하에서 원자 할로겐 종이 존재하지 않도록 (불활성 플라즈마 형성 가스에 첨가되는) 첨가 가스를 선택하는 것이 중요하다.
소정의 시간 주기, 예컨대 약 10초 동안 프리 에칭용 전자 빔 및 프리 에칭용 플라즈마에 의해 마스크층을 처리할 수 있다. 또한, 프리 에칭용 전자 빔에 의한 처리를 약 1초 내지 30초, 바람직하게는 약 2초 내지 20초, 예컨대 약 10초 동안 실행한다. 이러한 처리 후에, 에칭용 가스를 이용하여 에칭용 플라즈마를 형성할 수 있고, 에칭용 전자 빔을 형성할 수 있으며, 에칭용 전자 빔 및 에칭용 플라즈마에 처리된 마스크층을 갖는 기판을 노출시킴으로써 에칭 공정을 진행할 수 있다. 프리 에칭용 전자 빔 에너지는 에칭용 전자 빔 에너지와 대략 균등하게 선택될 수도 있고, 대안으로, 프리 에칭용 전자 빔 에너지는 에칭용 전자 빔 에너지보다 작게 선택될 수도 있다. 예컨대, 프리 에칭용 전자 빔 에너지는 약 500 eV일 수 있는 반면에, 에칭용 전자 빔 에너지는 약 1500 eV일 수 있다. 전자 빔 에너지[또는 도 1의 제2 전극(172)에 인가되는 전압]는 프리 에칭 처리 중에 단계적으로 증가할 수도 있고, 이 전자 빔 에너지는 프리 에칭 처리 중에 기울기를 가질 수도 있다. 또한, 전자 빔 에너지[또는 도 1의 제2 전극(172)에 인가되는 전압]는 펄스 형태일 수도 있다. 예컨대, 제2 전극(172)에 인가되는 전압은 약 0 V 내지 약 -1500 V 사이에서, 바람직하게는 약 -100 V 내지 약 -1500 V 사이에서, 더 바람직하게는 약 -500 V 내지 약 -1500 V 사이에서 펄스 형태일 수 있다.
산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마를 이용한 마스크층의 처리에 선행하여, 마스크층을 프리 에칭용 전자 빔으로 처리할 수도 있다. 또한, 마스크층 상에 보호층을 형성하는 것에 선행하여, 마스크층을 프리 에칭용 전자 빔으로 처리할 수도 있다. 예컨대, 프리 에칭용 전자 빔은, 보호층의 형성 중의 폴리머 성장을 위하여 마스크층의 표면을 준비할 수도 있다.
이들 실시예는, 이하에서 나타내는 바와 같이, 임의의 타입의 플라즈마 처리 시스템으로 구현될 수 있다.
이제 도 2를 참조하면, 탄도 전자 빔에 의해 강화된 플라즈마를 이용하여 기부의 층을 에칭하기 이전에 마스크층을 처리하도록 구성된, 일 실시예에 따른 플라즈마 처리 시스템이 도시되어 있다. 플라즈마 처리 시스템(1)은 플라즈마의 형성을 용이하게 하도록 구성된 플라즈마 처리 챔버(8)와, 플라즈마 처리 챔버(8)에 결합되고 기판(3)을 지지하도록 구성된 기판 홀더(2)와, 플라즈마 처리 챔버(8)에 결합되고 플라즈마와 접촉하도록 구성된 전극(9)을 포함한다. 또한, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8)에 결합되고 적어도 하나의 AC 신호를 기판 홀더(2), 또는 전극(9), 또는 이들 양쪽에 결합하여 플라즈마를 형성하도록 구성된 AC 파워 시스템(4)과, 플라즈마 처리 챔버(8)에 결합되고 DC 전압을 전극(9)에 결합하여 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된 DC 파워 시스템(5)을 구비한다.
또한, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8)에 결합되고 전술한 실시예에서 설명한 가스 중 임의의 가스를 도입하도록 구성된 공정 가스 분배 시스템(6)을 포함한다. 또한, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8)에 결합되어 이 플라즈마 처리 챔버로부터 가스를 배기시키도록 구성된 진공 펌핑 시스템(도시 생략)을 포함한다.
선택적으로, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8), 기판 홀더(2), AC 파워 시스템(4), DC 파워 시스템(5) 및 공정 가스 분배 시스템(6)에 결합된 컨트롤러(7)를 더 포함하며, 이 컨트롤러는 상기 구성요소 각각과 데이터를 교환하여 플라즈마 처리 챔버(8) 내에서의 기판(3)을 처리하는 공정을 실행시키도록 구성된다. 플라즈마 처리 시스템(1)은 기판(3) 상의 마스크층의 처리, 또는 기판(3)의 에칭 공정, 또는 이들 양자를 용이하게 할 수 있다.
도 3은 다른 실시예에 따른 플라즈마 처리 시스템을 도시한다. 플라즈마 처리 시스템(1a)은, 플라즈마 처리 챔버(10), 처리 대상의 기판(25)이 부착되는 기판 홀더(20) 및 진공 펌핑 시스템(30)을 포함한다. 기판(25)은 반도체 기판, 웨이퍼, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(10)는 기판(25)의 표면에 인접한 처리 영역(15)에서 플라즈마의 생성을 용이하게 하도록 구성될 수 있다. 이온화 가능한 가스 또는 가스의 혼합물을 가스 주입 시스템(도시 생략)을 통하여 도입하고, 공정 압력을 조정한다. 예컨대, 제어 메커니즘(도시 생략)을 사용하여 진공 펌핑 시스템(30)을 조절할 수 있다. 플라즈마를 활용하여 특정의 재료 공정에 특정한 재료를 형성할 수 있고, 및/또는 기판(25)의 노출면으로부터 재료를 제거하는 것을 도울 수 있다. 플라즈마 처리 시스템(1a)은, 200 ㎜ 기판, 300 ㎜ 기판, 또는 보다 대형의 기판과 같이 임의의 사이즈의 기판을 처리하도록 구성될 수 있다.
기판(25)은 정전 클램핑 시스템을 통하여 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는, 냉각 시에 기판 홀더(20)로부터의 열을 수용하고, 그 열을 열교환기 시스템(도시 생략)에 전달하며, 가열 시에, 열교환기로부터의 열을 유체 흐름에 전달하는 재순환 유체 흐름을 갖는 냉각 시스템 또는 가열 시스템을 더 포함할 수 있다. 또한, 가스는 기판(25)과 기판 홀더(20) 사이의 가스-갭 열전도를 향상시키도록 이면측 가스 시스템을 통하여 기판(25)의 이면측에 공급될 수 있다. 상승된 온도 또는 하강된 온도에서 기판의 온도 제어가 필요할 때에, 그러한 시스템을 사용할 수 있다. 예컨대, 이면측 가스 시스템은 투 존(two-zone) 가스 분배 시스템을 포함할 수 있으며, 여기서 이면측 가스(예컨대, 헬륨)의 압력은 기판(25)의 중심과 가장자리 사이에서 독립적으로 변경될 수 있다. 다른 실시예에서, 저항성 가열 소자, 또는 열전 가열기/냉각기와 같은 가열/냉각 소자를, 플라즈마 처리 시스템(1a) 내의 임의의 다른 구성요소 및 플라즈마 처리 챔버(10)의 챔버 벽 뿐 아니라 기판 홀더(20)에 구비할 수 있다.
도 3에 도시된 실시예에서, 기판 홀더(20)는, RF 파워를 처리 공간(15) 내의 처리 플라즈마에 결합하는 전극을 포함할 수 있다. 예컨대, 기판 홀더(20)는, RF 파워가 RF 발생기(40)로부터 선택적 임피던스 매치 네트워크(42)를 통하여 기판 홀더(20)에 전달됨으로써 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 플라즈마를 생성하고 유지하도록 전자를 가열하는 작용, 또는 시스(sheath) 내의 이온 에너지 분포 기능에 영향을 끼치는 작용, 또는 이들 양 작용을 모두 수행 할 수 있다. 이러한 구조에 있어서, 시스템은 반응성 이온 에칭(RIE) 반응기로서 작용할 수 있고, 여기서 챔버는 접지면으로서 작용할 수 있다. RF 바이어스를 위한 통상의 주파수는 0.1 ㎒ 내지 100 ㎒의 범위일 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 널리 알려져 있다.
또한, 기판 홀더(20)에 결합된 RF 파워의 진폭은, 기판(25)에 대한 전자 빔 플럭스의 공간 분포의 변화에 영향을 끼치도록 변조될 수 있다. 추가의 상세 사항은, 2006년 7월 31일자로 출원되고 발명의 명칭이 "Method and system for controlling the uniformity of a ballistic electron beam by RF modulation"인, 공동 계류 중의 미국 특허 출원 제11/XXX,XXX호로부터 알 수 있으며, 이 특허 출원의 전체 내용은 전체적으로 본원 명세서에 참조로 인용된다.
또한, 임피던스 매치 네트워크(42)는, 반사 파워를 줄임으로써 플라즈마 처리 챔버(10) 내의 플라즈마로의 RF 파워의 전달을 개선시키는 작용을 한다. 매치 네트워크 토폴로지(예컨대, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 당업자에게 널리 알려져 있다.
여전히 도 3을 참조하면, 플라즈마 처리 시스템(1a)은 기판(25)에 대향하는 상부 전극(52)에 결합된 직류(DC) 파워 서플라이(50)를 더 포함한다. 상부 전극은 전극판을 포함할 수 있다. 전극판은 실리콘 함유 전극판을 포함할 수 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수 있다. DC 파워 서플라이는 가변 DC 파워 서플라이를 포함할 수 있다. 또한, DC 파워 서플라이는 양극(bipolar) DC 파워 서플라이를 포함할 수 있다. DC 파워 서플라이(50)는, DC 파워 서플라이(50) 의 극성, 전류, 전압 또는 온/오프 상태를 모니터링하는 기능, 조정하는 기능 또는 제어하는 기능 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 일단 플라즈마가 형성되면, DC 파워 서플라이(50)는 탄도 전자빔의 형성을 용이하게 한다. 전기 필터를 활용하여 DC 파워 서플라이(50)로부터 RF 파워를 결합 해제시킬 수 있다.
예컨대, DC 파워 서플라이(50)에 의해 전극(52)에 인가된 DC 전압은 약 -2000 볼트(V) 내지 약 1000 V의 범위일 수 있다. 바람직하게는, DC 전압의 절대값은 약 100 V 이상의 값을 갖고, 더 바람직하게는 DC 전압의 절대값은 약 500 V 이상의 값을 갖는다. 또한, DC 전압이 음의 극성을 갖는 것이 바람직하다. 또한, DC 전압은, 상부 전극(52)의 표면에서 생성된 셀프 바이어스 전압보다 높은 절대값을 갖는 음의 전압인 것이 바람직하다. 기판 홀더(20)와 마주하는 상부 전극(52)의 표면은 실리콘 함유 재료로 이루어질 수 있다.
진공 펌핑 시스템(30)은, 5000 리터/초(그 이상)에 이르는 펌핑 속도가 가능한 터보 분자 진공 펌프(TMP : Turbo Molecular vacuum Pump)와, 챔버 압력을 조절하는 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 통상의 플라즈마 처리 장치에서는, 1000 리터/초 내지 3000 리터/초의 TMP를 채용할 수 있다. TMP는 통상적으로 50 mTorr 미만의 저압력 처리에 사용될 수 있다. 고압력 처리(즉, 1000 mTorr 초과)의 경우, 기계식 부스터 펌프 및 건식 러핑 펌프(roughing pump)를 이용할 수 있다. 또한, 챔버 압력을 모니터링하는 장치(도시 생략)가 플라즈마 처리 챔버(10)에 결합될 수 있다. 압력 측정 장치는, 예컨대 MKS Instruments, Inc.(미국 매사추세츠주 앤도버 소재)에서 시판하는 Type 628B Baratron 절대 정전용량 마노미터(manometer)일 수 있다.
여전히 도 3을 참조하면, 플라즈마 처리 시스템(1a)은, 마이크로프로세서, 메모리 및 디지털 I/O 포트를 구비하는 컨트롤러(90)를 더 포함하며, 상기 디지털 I/O 포트는, 플라즈마 처리 시스템(1a)과 통신하여, 플라즈마 처리 시스템(1a)으로부터의 출력을 모니터할 뿐 아니라, 처리 시스템(1a)으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 컨트롤러(90)는, RF 발생기(40), 임피던스 매치 네트워크(42), DC 파워 서플라이(50), 가스 주입 시스템(도시 생략), 진공 펌핑 시스템(30), 그리고 이면측 가스 전달 시스템(도시 생략), 기판/기판 홀더 온도 측정 시스템(도시 생략), 및/또는 정전 클램핑 시스템(도시 생략)에 결합되어, 이들과 정보를 교환할 수 있다. 메모리에 저장된 프로그램은, 박막을 에칭하는 방법을 수행하기 위하여 공정 레시피에 따라 플라즈마 처리 시스템(1a)의 전술한 구성요소로의 입력을 작동시키는데 이용될 수 있다. 컨트롤러(90)의 일례로는, 미국 텍사스주 오스틴에 소재하는 DELL Corporation에서 시판하는 DELL PRECISION WORKSTATION 610TM이 있다.
컨트롤러(90)는 플라즈마 처리 시스템(1a)에 대해 근거리에 위치할 수도 있고, 인터넷이나 인트라넷을 통해 플라즈마 처리 시스템(1a)에 대해 원거리에 위치할 수도 있다. 이에 따라, 컨트롤러(90)는 직접 접속, 인트라넷 또는 인터넷 중 적어도 하나를 이용하여 플라즈마 처리 시스템(1a)과 데이터를 교환할 수 있다. 컨트롤러(90)는 커스토모 사이트(즉, 디바이스 메이커 등)의 인트라넷에 결합될 수도 있고, 벤더 사이트(즉, 장비 제작자)의 인트라넷에 결합될 수도 있다. 또한, 다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(90)에 액세스하여 직접 접속, 인트라넷 또는 인터넷 중 적어도 하나를 통하여 데이터를 교환할 수 있다.
도 4에 도시하는 실시예에 있어서, 플라즈마 처리 시스템(1b)은 도 2 또는 도 3의 실시예와 유사할 수 있으며, 플라즈마 밀도를 잠재적으로 상승시키고 및/또는 플라즈마 처리 균일성을 향상시키기 위하여, 도 2를 참고로 하여 설명한 구성요소들에 더하여, 고정식, 또는 기계식, 또는 전기식 회전 자기장 시스템(60)을 더 포함하고 있다. 또한, 컨트롤러(90)는 회전 속도 및 자기장 세기를 조절하기 위하여 자기장 시스템(60)에 결합될 수 있다. 회전식 자기장 시스템의 설계 및 구현은 당업자에게 널리 알려져 있다.
도 5에 도시된 실시예에 있어서, 플라즈마 처리 시스템(1c)은 도 2 또는 도 3의 실시예와 유사할 수 있으며, 선택적 임피던스 매치 네트워크(72)를 통하여 RF 파워를 상부 전극(52)에 결합하도록 구성된 RF 발생기(70)를 더 포함할 수 있다.
상부 전극(52)에 RF 파워를 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 200 ㎒의 범위일 수 있다. 또한, 기판 홀더(20)(또는 하부 전극)에 파워를 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 예컨대, 상부 전극(52)에 결합된 RF 주파수는 기판 홀더(20)에 결합된 RF 주파수보다 상대적으로 높을 수 있다. 또한, RF 발생기(70)로부터 상부 전극(52)으로의 RF 파워는 진폭 변조될 수도 있고, RF 발생기(40)로부터 기판 홀더(20)로의 RF 파워가 진폭 변조될 수도 있고, 양 RF 파워가 진폭 변조될 수도 있다. 바람직하게는, 보다 높은 RF 주파수의 RF 파워가 진폭 변조된다. 또한, 컨트롤러(90)는 상부 전극(52)에 RF 파워를 인가하는 것을 제어하기 위하여 RF 발생기(70) 및 임피던스 매치 네트워크(72)에 결합되어 있다. 상부 전극의 설계 및 구현은 당업자에게 널리 알려져 있다.
여전히 도 5를 참조하면, DC 파워 서플라이(50)가 상부 전극(52)에 직접 결합될 수도 있고, 임피던스 매치 네트워크(72)의 출력단으로부터 상부 전극(52)까지 연장되는 RF 전달 라인에 결합될 수도 있다. 전기 필터를 활용하여 DC 파워 서플라이(50)로부터 RF 파워를 결합 해제시킬 수 있다.
도 6에 도시된 실시예에 있어서, 플라즈마 처리 시스템(1d)은 예컨대 도 2, 도 3 및 도 4의 실시예와 유사할 수 있으며, RF 발생기(82)로부터 선택적 임피던스 매치 네트워크(84)를 통해 RF 파워가 결합되는 유도 코일(80)을 더 포함할 수 있다. RF 파워는 유도 코일(80)로부터 절연체 창(도시 생략)을 통해 플라즈마 처리 영역(15)에 유도 결합된다. 유도 코일(80)에 RF 파워를 인가하기 위한 통상의 주파수는 약 10 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 마찬가지로, 기판 홀더(20)(또는 하부 전극)에 파워를 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 또한, 슬롯형 패러데이 실드(slotted Faraday shield; 도시 생략)를 채용하여 유도 코일(80)과 플라즈마 사이의 용량 결합을 감소시킬 수 있다. 또한, 컨트롤러(90)는 유도 코일(80)로의 파워 인가를 제어하기 위하여 RF 발생기(82) 및 임피던스 매치 네트워크(84)에 결합되어 있다. 변형예에서, 유도 코일(80)은, TCP(Transformer Coupled Plasma) 반응기에서와 같이 위로부터 플라즈마 처리 영역(15)과 통하는 "스파이럴" 코일 또는 "팬케이크(pancake)" 코일일 수 있다. 유도 결합 플라즈마(ICP : Inductively Coupled Plasma) 소스 또는 TCP 소스의 설계 및 구현은 당업자에게 널리 알려져 있다.
대안으로, 전자 사이클로트론 공명(ECR: Electron Cyclotron Resonance)을 이용하여 플라즈마를 형성할 수도 있다. 또 다른 실시예에서는, 헬리콘파의 론칭(launching)으로부터 플라즈마가 형성된다. 또 다른 실시예에서는, 전달 표면파로부터 플라즈마가 형성된다. 전술한 각각의 플라즈마 소스는 당업자에게 널리 알려져 있다.
도 7에 도시한 실시예에서, 플라즈마 처리 시스템(1e)은 예컨대 도 3, 도 4 및 도 5의 실시예와 유사할 수 있으며, 다른 선택적 임피던스 매치 네트워크(46)를 통하여 기판 홀더(20)에 RF 파워를 결합하도록 구성된 제2 RF 발생기(44)를 더 포함할 수 있다. 기판 홀더(20)에 RF 파워를 인가하기 위한 통상의 주파수는, 제1 RF 발생기(40), 또는 제2 RF 발생기(44), 또는 이들 모두에 대해 약 0.1 ㎒ 내지 약 200 ㎒의 범위일 수 있다. 제2 RF 발생기(44)의 RF 주파수는 제1 RF 발생기(40)의 RF 주파수보다 상대적으로 높을 수 있다. 또한, 제1 RF 발생기(40)로부터 기판 홀더(20)로의 RF 파워가 진폭 변조될 수도 있고, 제2 RF 발생기(44)로부터 기판 홀더(20)로의 RF 파워가 진폭 변조될 수도 있고, 이들 모두의 RF 파워가 진폭 변조될 수도 있다. 바람직하게는 더 높은 RF 주파수의 RF 파워가 진폭 변조된다. 또한, 컨트롤러(90)는 기판 홀더(20)로의 RF 파워의 인가를 제어하기 위하여 제2 RF 발생기(44) 및 임피던스 매치 네트워크(46)에 결합된다. 기판 홀더를 위한 RF 시스템의 설계 및 구현은 당업자에게 널리 알려져 있다.
다음의 설명에서는, 탄도 전자빔을 채용한 플라즈마 처리 시스템을 활용하여 박막을 에칭하는 방법을 제시한다. 예컨대, 플라즈마 처리 시스템은 도 1 내지 도 7에서 설명한 바와 같은 다양한 요소들과, 이들의 조합을 포함할 수 있다.
도 8은 본 발명의 실시예에 따른 박막 에칭 방법의 흐름도를 도시한다. 과정(500)은, 패턴이 형성되어 있고 기판 상의 박막을 덮고 있는 마스크층을 처리하는 처리 단계 510에서 시작한다.
전술한 실시예 중 임의의 것을 이용하여 마스크층을 처리할 수 있다. 예컨대, 마스크층의 처리 단계에는, 마스크층을, 산소 함유 플라즈마 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시키는 것을 포함할 수 있다. 대안으로, 마스크층의 처리 단계에는, 마스크층 상에 보호층을 형성하는 것을 포함할 수 있다. 다른 대안으로, 마스크층의 처리 단계에는, 원자 할로겐 종이 없는 상태에서 마스크층을 전자 빔에 노출시키는 것을 포함할 수 있다. 또 다른 대안으로, 마스크층의 처리 단계에는, 전술한 처리들의 임의의 조합을 포함할 수도 있다.
단계 520에서는, 활동적인(탄도) 전자 빔에 의해 지원되는 건식 에칭 플라즈마에 상기 마스크층이 처리된 기판을 노출시켜, LER과 같은 비정상 패턴을 줄이면서, 마스크층에 형성된 패턴을 기부의 박막에 전사한다. 플라즈마 처리 시스템에 있어서는, 공정 가스에 파워를 결합시킴으로써 (공정 가스 분자의 이온화 및 해리 를 초래하여) 공정 가스로부터 (공정) 플라즈마가 형성된다. 플라즈마 처리 시스템 내의 전극에 DC 파워를 결합하고 플라즈마를 형성함으로써, 전극에 인가되는 DC 전압의 크기에 의존하는 에너지 레벨을 갖는 활동적인(탄도) 전자 빔이 생성된다.
DC 파워가 플라즈마 처리 시스템에 결합된다. 예컨대, DC 파워 서플라이에 의해 플라즈마 처리 시스템에 인가된 DC 전압은 약 -2000 볼트(V) 내지 약 1000 V의 범위일 수 있다. 바람직하게는, DC 전압의 절대값은 약 100 V 이상의 값을 갖고, 더 바람직하게는 DC 전압의 절대값은 약 500 V 이상의 값을 갖는다. 또한, DC 전압은 음의 극성을 갖는 것이 바람직하다. 또한, DC 전압은 플라즈마 처리 시스템의 전극 표면에서 생성된 셀프 바이어스 전압보다 큰 절대값을 갖는 음의 전압인 것이 바람직하다.
이상, 본 발명의 특정의 예시적인 실시예만을 상세하게 설명하였지만, 당업자는 이러한 발명의 신규한 교시 내용 및 이점으로부터 실질적으로 벗어나지 않으면서 실시예에 있어서 많은 변형이 가능하다는 것을 쉽게 이해할 수 있다. 따라서 이러한 모든 변형예는 본 발명의 범위 내에 포함되는 것으로 한다.

Claims (67)

  1. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서,
    상기 마스크층을 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 상기 마스크층을 처리하는 처리 단계와,
    상기 마스크층을 처리하는 처리 단계에 이어서, 상기 마스크층의 패턴을 상기 박막에 전사하도록 상기 박막을 에칭하는 에칭 단계를 포함하며,
    상기 에칭 단계는,
    공정 가스로부터 플라즈마 처리 시스템 내에 플라즈마를 형성하는 형성 단계와,
    상기 에칭 단계 중에 상기 플라즈마를 지원하는 전자 빔을 상기 플라즈마 처리 시스템 내에 형성하도록 상기 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하는 결합 단계와,
    상기 기판을 상기 플라즈마 및 상기 전자 빔에 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  2. 제1항에 있어서, 상기 마스크층을 처리하는 상기 처리 단계는, O2, CO, CO2, NO, N2O, 또는 NO2, 또는 이들의 2 이상의 조합을 이용하여 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  3. 제2항에 있어서, 상기 처리 단계는, N2, H2, CN, 불활성 가스, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법.
  4. 제2항에 있어서, 상기 처리 단계는, 상기 마스크층을 할로겐 함유 가스에 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법.
  5. 제1항에 있어서, 상기 마스크 층을 처리하는 상기 처리 단계는, Cl2, Br2, F2, HBr, HCl, HF, C2H4Br2, SiCl4, NF3, SF6, 또는 이들의 2 이상의 조합을 이용하여 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  6. 제5항에 있어서, 상기 처리 단계는, N2, H2, CN, 희가스, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법.
  7. 제5항에 있어서, 상기 처리 단계는, 상기 마스크층을 산소 함유 가스에 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법.
  8. 제1항에 있어서, 상기 마스크층을 처리하는 상기 처리 단계는, 상기 전극 또는 상기 전극 이외의 다른 전극, 또는 상기 기판 홀더, 이들의 2 이상의 조합에 AC 파워를 결합함으로써 상기 플라즈마 처리 시스템 내에 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  9. 제8항에 있어서, 상기 마스크 층을 처리하는 상기 처리 단계는, 약 500 W 이하의 파워 레벨을 이용하여 형성된 저파워 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  10. 제1항에 있어서, 상기 처리 단계는, 상기 플라즈마 처리 시스템에 결합된 원격 플라즈마 소스에 형성된 플라즈마에 상기 마스크 층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  11. 제1항에 있어서, DC 파워를 결합하는 상기 결합 단계는 약 -2000 V 내지 약 1000 V의 전압 범위의 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  12. 제1항에 있어서, DC 파워를 결합하는 상기 결합 단계는 음의 극성을 갖는 DC 파워를 결합하는 단계를 포함하고, DC 파워의 절대값은 약 500 V 이상인 것인 에칭 방법.
  13. 제1항에 있어서, 상기 전극에 DC 파워를 결합하는 상기 단계는, 기판 홀더에 설치되어 있는 상기 기판에 대향하는 상부 전극에 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  14. 제13항에 있어서, 플라즈마를 형성하는 상기 형성 단계는, 상기 전극 또는 상기 전극 이외의 다른 전극, 또는 상기 기판 홀더, 이들의 2 이상의 조합에 고주파(RF) 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  15. 제14항에 있어서, RF 파워를 결합하는 상기 결합 단계는, 제1 RF 주파수의 제1 RF 파워를 상기 상부 전극에 결합하고, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 기판 홀더에 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  16. 제14항에 있어서,
    상기 전자 빔에 대한 전자 빔 플럭스의 공간 분포를 조정하도록 상기 RF 파워의 진폭을 변조시키는 변조 단계를 더 포함하는 에칭 방법.
  17. 제1항에 있어서, 상기 에칭 단계 이전에, 상기 마스크층을 상기 산소 함유 플라즈마, 또는 상기 할로겐 함유 플라즈마에 노출시키는 상기 노출 단계는, 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER(line edge roughness)을 감소시키는 것인 에칭 방법.
  18. 제1항에 있어서, 상기 처리 단계는, 패터닝된 마스크 층이 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER에 대한 저항성을 갖도록 하기 위하여 소정 시간 동안 실행되는 것인 에칭 방법.
  19. 제1항에 있어서, 상기 마스크층을 처리하는 상기 처리 단계는, He, Ne, Ar, Xe, Kr 또는 이들의 2 이상의 조합을 이용하여 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  20. 제1항에 있어서,
    산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시킴으로써 상기 마스크층을 처리하는 상기 처리 단계 이전에, 상기 마스크층을 개질시키기 위하여 원자 할로겐 종이 없는 상태에서 프리 에칭용 전자 빔에 의해 상기 마스크층을 사전 처리하는 사전 처리 단계를 더 포함하는 에칭 방법.
  21. 제1항에 있어서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시킴으로써 상기 마스크층을 처리하는 상기 처리 단계는, 제1 RF 주파수의 제1 RF 파워를 상기 상부 전극에 결합하는 단계와, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 상기 기판 홀더에 결합하는 단계를 포함하고, 상기 제2 RF 파워는 약 100 W 이하인 것인 에칭 방법.
  22. 제21항에 있어서, 상기 제2 RF 파워는 실질적으로 제로인 것인 에칭 방법.
  23. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서,
    플라즈마 및 탄도 전자 빔을 형성하도록 구성된 플라즈마 처리 시스템 내의 기판 홀더 상에 기판을 제공하는 기판 제공 단계와,
    상기 마스크층을, 탄도 전자 빔을 형성하지 않은 상태에서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 상기 플라즈마 처리 시스템 내에서 상기 마스크층을 처리하는 처리 단계와,
    상기 마스크층을 처리하는 상기 처리 단계에 이어서, 상기 박막을 에칭하여 상기 박막에 패터닝된 마스크 층의 패턴을 전사하도록 상기 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계
    를 포함하는 에칭 방법.
  24. 기판을 에칭하도록 구성된 플라즈마 처리 시스템으로서,
    처리 챔버와,
    상기 처리 챔버에 가스를 공급하도록 구성된 가스 공급 시스템과,
    상기 처리 챔버에 결합되어 상기 기판을 지지하도록 구성된 기판 홀더와,
    상기 처리 챔버의 내부에 설치된 전극과,
    상기 처리 챔버에 결합되고, 적어도 하나의 AC 신호를 상기 기판 홀더 또는 상기 전극, 또는 이들 모두에 결합하여 상기 처리 챔버 내에 플라즈마를 형성하도록 구성된 AC 파워 시스템과,
    상기 처리 챔버에 결합되고, DC 전압을 상기 전극에 결합하여 상기 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된 DC 파워 시스템과,
    상기 가스 공급 시스템을 제어하도록 구성된 컨트롤러
    를 포함하며,
    상기 AC 파워 시스템과 상기 DC 파워 시스템은,
    상기 마스크층을, 탄도 전자 빔을 형성하지 않은 상태에서, 산소 함유 플라즈마, 또는 할로겐 함유 플라즈마, 또는 희가스 플라즈마, 또는 이들의 2 이상의 조합에 노출시킴으로써, 상기 플라즈마 처리 시스템 내에서 상기 마스크층을 처리하는 처리 단계와,
    상기 마스크층을 처리하는 상기 처리 단계에 이어서, 상기 박막을 에칭하여 상기 박막에 패터닝된 마스크층의 패턴을 전사하도록 상기 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계
    를 실행하는 것인 플라즈마 처리 시스템.
  25. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서,
    상기 마스크층을 보호하도록 상기 마스크층 상에 보호층을 형성하는 형성 단계와,
    상기 보호층을 형성하는 상기 형성 단계에 이어서, 상기 박막에 상기 마스크층의 패턴을 전사하도록 상기 박막을 에칭하는 에칭 단계
    를 포함하고,
    상기 에칭 단계는,
    공정 가스로부터 플라즈마 처리 시스템 내에 플라즈마를 형성하는 단계와,
    상기 에칭 단계 중에 상기 플라즈마를 지원하는 전자 빔을 상기 플라즈마 처리 시스템 내에 형성하도록 상기 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하는 결합 단계와,
    상기 플라즈마 및 상기 전자 빔에 상기 기판을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  26. 제25항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 상기 기판을 증착 가스 플라즈마에 노출시키는 단계를 포함하는 것인 에칭 방법.
  27. 제26항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 하이드로카본 함유 플라즈마 또는 플루오로카본 함유 플라즈마, 또는 하이드로플루오로카본 함유 플라즈마, 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  28. 제26항에 있어서, 상기 유기층을 형성하는 단계는, C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, C6H12, C2F6, CF4, C3F8, C4F8, C5F8, C4F6, CH2F2, CHF3, CH3F, C2HF5, 또는 이들의 2 이상의 조합을 이용하여 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  29. 제28항에 있어서, 상기 형성 단계는 H2, O2, CO, CO2, NO, N2O, NO2, N2, CN, 불활성 가스 또는 이들의 2 이상의 조합에 상기 마스크층을 노출시키는 노출 단계를 더 포함하는 것인 에칭 방법.
  30. 제26항에 있어서, 상기 유기층을 형성하는 단계는, 상기 마스크층을 알코올 에 침지시키는 침지 단계를 포함하는 것인 에칭 방법.
  31. 제26항에 있어서, 상기 유기층을 형성하는 단계는, 상기 마스크층을 에탄올, 메탄올, 또는 이들 양자에 침지시키는 침지 단계를 포함하는 것인 에칭 방법.
  32. 제26항에 있어서, 상기 희생층을 형성하는 단계는, 상기 전극 또는 상기 전극 이외의 다른 전극, 또는 상기 기판 홀더, 또는 이들의 2 이상의 조합에 AC 파워를 결합함으로써 상기 플라즈마 처리 시스템 내에 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  33. 제32항에 있어서, 플라즈마에 상기 마스크층을 노출시키는 상기 노출 단계는, 약 500 W 이상의 파워 레벨을 이용하여 형성된 저파워 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  34. 제26항에 있어서, 상기 플라즈마에 상기 마스크층을 노출시키는 단계는, 상기 플라즈마 처리 시스템에 결합된 원격 플라즈마 소스에서 형성된 플라즈마에 상기 마스크층을 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  35. 제25항에 있어서, DC 파워를 결합하는 상기 결합 단계는, 약 -2000 V 내지 약 1000 V의 전압 범위의 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방 법.
  36. 제25항에 있어서, DC 파워를 결합하는 상기 결합 단계는 음의 극성을 갖는 DC 파워를 결합하는 결합 단계를 포함하고, DC 파워의 절대값은 약 500 V 이상인 것인 에칭 방법.
  37. 제25항에 있어서, DC 파워를 결합하는 상기 결합 단계는, 기판 홀더에 설치되어 있는 상기 기판에 대향하는 상부 전극에 DC 파워를 결합하는 단계를 포함하는 것인 에칭 방법.
  38. 제37항에 있어서, 상기 플라즈마를 형성하는 단계는, 상기 전극 또는 상기 전극 이외의 다른 전극, 또는 상기 기판 홀더, 또는 이들의 2 이상의 조합에 고주파(RF) 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  39. 제38항에 있어서, RF 파워를 결합하는 상기 결합 단계는, 제1 RF 주파수의 제1 RF 파워를 상기 상부 전극에 결합하는 결합 단계와, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 기판 홀더에 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  40. 제38항에 있어서,
    상기 전자 빔에 대한 전자 빔 플럭스의 공간 분포를 조정하도록 상기 RF 파워의 진폭을 변조시키는 변조 단계를 더 포함하는 에칭 방법.
  41. 제25항에 있어서, 상기 에칭 단계 이전에 상기 마스크층 상에 상기 희생층을 형성하는 상기 단계는, 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER을 감소시키는 것인 에칭 방법.
  42. 제25항에 있어서, 상기 보호층을 형성하는 상기 형성 단계는, 제1 RF 주파수의 제1 RF 파워를 상기 상부 전극에 결합하고, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 상기 기판 홀더에 결합함으로써 증착용 플라즈마를 형성하는 형성 단계를 포함하고, 상기 제1 RF 파워는 약 500 W 이상이고, 상기 제2 RF 파워는 약 100 W 이하인 것인 에칭 방법.
  43. 제42항에 있어서, 상기 제2 RF 파워는 실질적으로 제로인 것인 에칭 방법.
  44. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서,
    패터닝된 마스크층 상에 보호층을 형성하는 단계로서, 상기 희생층은 탄도 전자 빔 지원 플라즈마 에칭 공정 중에 상기 마스크층을 보호하도록 소정의 두께를 갖는 것인 형성 단계와,
    상기 희생층을 형성하는 상기 형성 단계에 이어서, 상기 박막을 에칭하고 상기 박막에 상기 마스크층의 패턴을 전사하도록 상기 기판에 상기 탄도 전자 빔 지원 플라즈마 에칭 공정을 실행하는 단계
    를 포함하며,
    상기 소정의 두께의 범위는 약 1 ㎚ 내지 약 200 ㎚인 것인 에칭 방법.
  45. 제44항에 있어서, 상기 소정의 두께의 범위는 약 50 ㎚ 내지 약 100 ㎚인 것인 에칭 방법.
  46. 기판을 에칭하도록 구성된 플라즈마 처리 시스템으로서,
    처리 챔버와,
    상기 처리 챔버에 가스를 공급하도록 구성된 가스 공급 시스템과,
    상기 처리 챔버에 결합되어 상기 기판을 지지하도록 구성된 기판 홀더와,
    상기 처리 챔버의 내부에 설치된 전극과,
    상기 처리 챔버에 결합되고, 적어도 하나의 AC 신호를 상기 기판 홀더, 또는 상기 전극, 또는 이들 모두에 결합하여 상기 처리 챔버 내에 플라즈마를 형성하도록 구성된 AC 파워 시스템과,
    상기 처리 챔버에 결합되고, DC 전압을 상기 전극에 결합하여 상기 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된 DC 파워 시스템과,
    상기 가스 공급 시스템을 제어하도록 구성된 컨트롤러
    를 포함하며,
    상기 AC 파워 시스템과 상기 DC 파워 시스템은,
    상기 마스크층을 보호하도록 상기 마스크층 상에 보호층을 형성하는 형성 단계와,
    상기 보호층을 형성하는 상기 형성 단계에 이어서, 상기 박막을 에칭하여 상기 박막에 패터닝된 마스크 층의 패턴을 전사하도록 상기 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계를 실행하는 것인 플라즈마 처리 시스템.
  47. 기판 상에 형성되고 위에 마스크층이 패터닝된 박막을 에칭하는 에칭 방법으로서,
    상기 마스크층을 개질시키도록 원자 할로겐 종이 없는 상태에서 프리 에칭용 전자 빔으로 상기 마스크층을 처리하는 처리 단계와,
    상기 마스크층을 처리하는 상기 처리 단계에 이어서, 마스크 층의 패턴을 상기 박막에 전사하기 위하여 플라즈마 처리 시스템 내에서 상기 박막을 에칭하는 에칭 단계
    를 포함하며,
    상기 에칭 단계는 에칭용 가스로부터 에칭용 플라즈마를 형성하는 단계와,
    상기 에칭 단계 중에 상기 에칭용 플라즈마를 지원하는 에칭용 전자 빔을 형성하도록 상기 플라즈마 처리 시스템 내의 전극에 직류(DC) 파워를 결합하는 결합 단계와,
    상기 기판을 상기 에칭용 플라즈마 및 상기 에칭용 전자 빔에 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  48. 제47항에 있어서, 상기 프리 에칭용 전자 빔에 의해 상기 마스크층을 처리하는 상기 처리 단계는, 상기 플라즈마 처리 시스템 내에 상기 기판을 배치하는 배치 단계와, 상기 플라즈마 처리 시스템에 결합된 전자 빔 소스를 이용하여 상기 마스크층을 처리하는 처리 단계를 포함하는 것인 에칭 방법.
  49. 제47항에 있어서, 상기 프리 에칭용 전자 빔에 의해 상기 마스크층을 처리하는 상기 처리 단계는, 상기 플라즈마 처리 시스템 이외의 기판 처리 시스템 내에 상기 기판을 배치하는 단계와, 상기 기판 처리 시스템에 결합된 전자 빔 소스를 이용하여 상기 마스크층을 처리하는 처리 단계를 포함하는 것인 에칭 방법.
  50. 제47항에 있어서, 상기 프리 에칭용 전자 빔에 의해 상기 마스크층을 처리하는 상기 처리 단계는,
    상기 플라즈마 처리 시스템 내의 기판 홀더 상에 상기 기판을 배치하는 배치 단계와,
    프리 에칭용 가스로부터 상기 플라즈마 처리 시스템 내에 프리 에칭용 플라즈마를 형성하는 형성 단계와,
    상기 프리 에칭용 전자 빔을 형성하도록 상기 플라즈마 처리 시스템 내의 상기 전극에 DC 파워를 결합하는 결합 단계와,
    상기 기판을 상기 프리 에칭용 플라즈마 및 상기 프리 에칭용 전자 빔에 노출시키는 노출 단계를 포함하는 것인 에칭 방법.
  51. 제50항에 있어서, 상기 프리 에칭용 플라즈마를 형성하는 상기 형성 단계는 하나 이상의 희가스로부터 상기 프리 에칭용 플라즈마를 형성하는 형성 단계를 포함하는 것인 에칭 방법.
  52. 제51항에 있어서, 상기 프리 에칭용 플라즈마를 형성하는 상기 형성 단계는, 하나 이상의 희가스와 CHF3의 혼합물로부터 상기 프리 에칭용 플라즈마를 형성하는 형성 단계를 포함하는 것인 에칭 방법.
  53. 제50항에 있어서, 상기 프리 에칭용 전자 빔을 형성하는 상기 형성 단계는, 상기 기판 홀더 상의 상기 기판에 대향하는 상부 전극에 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  54. 제50항에 있어서, 상기 프리 에칭용 전자 빔을 형성하는 상기 형성 단계는, 음의 극성을 갖는 DC 파워를 결합하는 결합 단계를 포함하고, 상기 DC 파워의 절대 값은 약 500 V 이상인 것인 에칭 방법.
  55. 제50항에 있어서, 상기 프리 에칭용 플라즈마를 형성하는 상기 형성 단계는, 상기 전극, 상기 전극 이외의 다른 전극, 또는 상기 기판 홀더, 또는 이들의 2 이상의 조합에 고주파(RF) 파워를 결합하는 결합 단계를 포함하며, RF 파워의 총 파워 레벨이 500 W 이하인 것인 에칭 방법.
  56. 제47항에 있어서, 상기 에칭용 전자 빔을 형성하는 상기 형성 단계는 약 -2000 V 내지 약 1000 V의 전압 범위의 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  57. 제47항에 있어서, 상기 에칭용 전자 빔을 형성하는 상기 형성 단계는, 음의 극성을 갖는 DC 파워를 결합하는 결합 단계를 포함하고, DC 파워의 절대값은 약 500 V 이상인 것인 에칭 방법.
  58. 제47항에 있어서, 상기 에칭용 전자 빔을 형성하는 상기 형성 단계는, 기판 홀더에 설치되어 있는 상기 기판에 대향하는 상부 전극에 DC 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  59. 제58항에 있어서, 상기 에칭용 플라즈마를 형성하는 상기 형성 단계는, 상기 전극, 상기 전극 이외의 다른 전극, 상기 기판 홀더, 또는 이들의 2 이상의 조합에 고주파(RF) 파워를 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  60. 제59항에 있어서, RF 파워를 결합하는 상기 결합 단계는, 제1 RF 주파수의 제1 RF 파워를 상기 상부 전극에 결합하는 결합 단계와, 상기 제1 RF 주파수보다 작은 제2 RF 주파수의 제2 RF 파워를 상기 기판 홀더에 결합하는 결합 단계를 포함하는 것인 에칭 방법.
  61. 제59항에 있어서,
    상기 전자 빔에 대한 전자 빔 플럭스의 공간 분포를 조정하도록 상기 RF 파워의 진폭을 변조시키는 변조 단계를 더 포함하는 에칭 방법.
  62. 제47항에 있어서, 상기 에칭 단계 이전에 상기 프리 에칭용 전자 빔으로 상기 마스크층을 처리하는 상기 처리 단계는, 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER을 감소시키는 것인 에칭 방법.
  63. 제47항에 있어서, 상기 처리 단계는, 패터닝된 마스크 층이 상기 에칭 단계 중에 상기 마스크층에 형성되는 LER에 대한 저항성을 갖도록 하기 위하여 소정 시간 동안 실행되는 것인 에칭 방법.
  64. 제47항에 있어서, 상기 프리 에칭용 전자 빔의 전자 빔 에너지는 상기 에칭용 전자 빔의 전자 빔 에너지보다 작은 것인 에칭 방법.
  65. 제47항에 있어서, 상기 프리 에칭용 전자 빔의 전자 빔 에너지는 상기 프리 에칭용 전자 빔에 의해 상기 마스크층을 처리하는 처리 단계 중에 하나 이상의 스텝으로 증가하거나 기울기를 갖는 것인 에칭 방법.
  66. 탄도 전자 빔을 갖는 플라즈마 처리 시스템을 이용하여 기판 상의 박막을 에칭하는 에칭 방법으로서,
    상기 박막 상에 소정의 패턴을 갖는 마스크층을 형성하는 형성 단계와,
    원자 할로겐 종이 없는 상태에서 제1 전자 빔을 형성하는 형성 단계와,
    상기 마스크층을 처리하도록 상기 마스크층을 갖는 상기 기판을 상기 제1 전자 빔에 노출시키는 노출 단계와,
    에칭용 가스로부터 상기 플라즈마 처리 시스템 내에 에칭용 플라즈마를 형성하는 단계와,
    상기 플라즈마 처리 시스템 내에 제2 전자 빔을 형성하는 형성 단계와,
    상기 패턴을 상기 박막에 전사하도록 상기 에칭용 플라즈마와 상기 제2 전자 빔에 상기 기판을 노출시키는 노출 단계
    를 포함하는 에칭 방법.
  67. 기판 상의 마스크층을 갖는 박막을 에칭하도록 구성된 플라즈마 처리 시스템으로서,
    처리 챔버와,
    상기 처리 챔버에 가스를 공급하도록 구성된 가스 공급 시스템과,
    상기 처리 챔버에 결합되어 상기 기판을 지지하도록 구성된 기판 홀더와,
    상기 처리 챔버의 내부에 설치된 전극과,
    상기 처리 챔버에 결합되고, 적어도 하나의 AC 신호를 상기 기판 홀더 또는 상기 전극, 또는 이들 모두에 결합하여 상기 처리 챔버 내에 플라즈마를 형성하도록 구성된 AC 파워 시스템과,
    상기 처리 챔버에 결합되고, DC 전압을 상기 전극에 결합하여 상기 플라즈마를 통하여 탄도 전자 빔을 형성하도록 구성된 DC 파워 시스템과,
    상기 가스 공급 시스템을 제어하도록 구성된 컨트롤러
    를 포함하며,
    상기 AC 파워 시스템과 상기 DC 파워 시스템은,
    상기 마스크층을 개질시키도록 원자 할로겐 종이 없는 상태에서 프리 에칭용 전자 빔으로 상기 마스크층을 처리하는 처리 단계와,
    상기 마스크층을 처리하는 상기 처리 단계에 이어서, 상기 박막을 에칭하여 상기 박막에 패터닝된 마스크 층의 패턴을 전사하도록 상기 플라즈마 처리 시스템 내에 플라즈마와 탄도 전자 빔을 형성하는 형성 단계
    를 실행하는 것인 플라즈마 처리 시스템.
KR1020097004272A 2006-08-07 2007-06-05 에칭 방법 및 플라즈마 처리 시스템 KR101346897B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11/499,678 US7449414B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,679 US7572386B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,680 2006-08-07
US11/499,680 US7642193B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,678 2006-08-07
US11/499,679 2006-08-07
PCT/US2007/070375 WO2008021609A1 (en) 2006-08-07 2007-06-05 Method of treating a mask layer prior to performing an etching process

Publications (2)

Publication Number Publication Date
KR20090037495A true KR20090037495A (ko) 2009-04-15
KR101346897B1 KR101346897B1 (ko) 2014-01-02

Family

ID=39082334

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097004272A KR101346897B1 (ko) 2006-08-07 2007-06-05 에칭 방법 및 플라즈마 처리 시스템

Country Status (4)

Country Link
JP (1) JP5271267B2 (ko)
KR (1) KR101346897B1 (ko)
TW (2) TWI445074B (ko)
WO (1) WO2008021609A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160011163A (ko) * 2014-07-21 2016-01-29 도쿄엘렉트론가부시키가이샤 산화물 에칭 선택도를 증가시키기 위한 방법
KR20190002327A (ko) * 2017-06-29 2019-01-08 램 리써치 코포레이션 에지 거칠기 감소

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5578782B2 (ja) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP5171683B2 (ja) 2009-02-18 2013-03-27 東京エレクトロン株式会社 プラズマ処理方法
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
CN102543687B (zh) * 2011-11-30 2015-08-05 中微半导体设备(上海)有限公司 掩膜层的刻蚀方法、刻蚀装置及层间介质层的刻蚀方法
JP6243722B2 (ja) * 2013-12-10 2017-12-06 東京エレクトロン株式会社 エッチング処理方法
JP6587580B2 (ja) 2016-06-10 2019-10-09 東京エレクトロン株式会社 エッチング処理方法
JP2023170791A (ja) * 2022-05-20 2023-12-01 東京エレクトロン株式会社 改質方法及び改質装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5641234A (en) * 1979-09-10 1981-04-17 Asahi Chem Ind Co Ltd Novel molding dope composition
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
JP4538209B2 (ja) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
JP4672456B2 (ja) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
JP4672455B2 (ja) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP5011782B2 (ja) * 2006-03-28 2012-08-29 東京エレクトロン株式会社 半導体装置の製造方法、プラズマ処理装置及び記憶媒体。
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160011163A (ko) * 2014-07-21 2016-01-29 도쿄엘렉트론가부시키가이샤 산화물 에칭 선택도를 증가시키기 위한 방법
KR20190002327A (ko) * 2017-06-29 2019-01-08 램 리써치 코포레이션 에지 거칠기 감소

Also Published As

Publication number Publication date
TWI445074B (zh) 2014-07-11
JP5271267B2 (ja) 2013-08-21
TW201419411A (zh) 2014-05-16
KR101346897B1 (ko) 2014-01-02
WO2008021609A1 (en) 2008-02-21
JP2010500758A (ja) 2010-01-07
TWI443743B (zh) 2014-07-01
TW200828432A (en) 2008-07-01

Similar Documents

Publication Publication Date Title
US7449414B2 (en) Method of treating a mask layer prior to performing an etching process
KR101346897B1 (ko) 에칭 방법 및 플라즈마 처리 시스템
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
TWI423323B (zh) 光阻剝離室及蝕刻基材上光阻之方法
JP5238704B2 (ja) ハフニウム含有材料を乾式エッチングする方法およびシステム
US7572386B2 (en) Method of treating a mask layer prior to performing an etching process
US7642193B2 (en) Method of treating a mask layer prior to performing an etching process
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
KR101414307B1 (ko) 반도체 처리에 있어서 마스크를 제공하는 방법 및 장치
KR20110018266A (ko) Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법
KR20110013265A (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
KR20060010845A (ko) 기판에서 포토레지스트를 제거하는 방법
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
KR20190011600A (ko) 플라즈마 처리 장치 및 방법, 및 이를 이용한 반도체 장치의 제조 방법
Pu Plasma Etch Equipment
JP3172340B2 (ja) プラズマ処理装置
JP2003234328A (ja) エッチング方法
JP2020177958A (ja) 基板処理方法及び基板処理装置
TW202123334A (zh) 電漿處理方法及電漿處理設備
JP2003332317A (ja) プラズマを用いたレジスト剥離装置及び方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 7