KR20110018266A - Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법 - Google Patents

Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법 Download PDF

Info

Publication number
KR20110018266A
KR20110018266A KR1020100072010A KR20100072010A KR20110018266A KR 20110018266 A KR20110018266 A KR 20110018266A KR 1020100072010 A KR1020100072010 A KR 1020100072010A KR 20100072010 A KR20100072010 A KR 20100072010A KR 20110018266 A KR20110018266 A KR 20110018266A
Authority
KR
South Korea
Prior art keywords
substrate
layer
gas
plasma
flow rate
Prior art date
Application number
KR1020100072010A
Other languages
English (en)
Other versions
KR101713330B1 (ko
Inventor
크리스토퍼 콜
아키테루 고
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110018266A publication Critical patent/KR20110018266A/ko
Application granted granted Critical
Publication of KR101713330B1 publication Critical patent/KR101713330B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

실리콘 함유 반사 방지 코팅(ARC)층을 패턴 에칭하는 방법을 개시한다.본 방법은 SF6 및 탄화수소 가스를 함유한 프로세스 가스로부터 형성된 플라즈마를 이용하여 실리콘 함유 ARC층에 특징부 패턴을 에칭하는 단계를 포함한다. 본 방법은 특징부 패턴의 내포형 구조에 대한 최종 CD와 특징부 패턴의 독립형 구조에 대한 최종 CD 간의 CD 바이어스를 줄이기 위하여 SF6의 유량에 대한 탄화수소 가스의 유량을 조절하는 단계를 더 포함한다.

Description

SF6 및 탄화수소를 이용하여 ARC층을 패터닝하는 방법{METHOD FOR PATTERNING AN ARC LAYER USING SF6 AND A HYDROCARBON GAS}
<관련 출원과의 상호 참조>
본 출원은 발명의 명칭이 "METHDO FOR ETCHING SILICON-CONTAINING ARC LAYER WITH REDUCED CD BIAS"(ES-137)인 2008년 2월 1일자로 출원한 계류중인 미국 특허 출원 제12/024,258호에 관한 것이다. 이것의 전체 내용은 여기에서의 인용에 의해 본 명세서에 원용되는 것으로 한다.
본 발명은 기판 상에서 반사 방지 코팅(ARC : Anti-Reflective Coating)층에 특징부(feature) 패턴을 에칭하는 방법에 관한 것이며, 더욱 구체적으로는 SF6 및 탄화수소 가스를 함유한 프로세스 가스로 형성된 플라즈마를 이용하여 실리콘 함유 ARC층에 특징부 패턴을 에칭하는 방법에 관한 것이다.
통상, 집적 회로(IC)의 제조 시에, 반도체 생산 장비는 반도체 기판 상에 패터닝된 비아 또는 컨택트 내에서 또는 미세 라인을 따라 재료를 제거하거나 에칭하기 위해 (건식)플라즈마 에칭 프로세스를 이용한다. 플라즈마 에칭 프로세스의 성공에 있어서, 에칭 화학작용은, 어떤 재료는 선택적으로 에칭하면서 다른 재료는 실질적으로 에칭하지 않기에 적합한 화학적 반응제를 포함하는 것이 필요하다. 예컨대, 반도체 기판 상에서, 보호층에 형성된 패턴은 플라즈마 에칭 프로세스를 이용하여, 선택된 재료로 된 밑에 있는 층으로 전사될 수 있다. 보호층은 리소그래피 프로세스를 이용하여 내부에 패턴이 형성된 포토레지스트층과 같은 감방사선층을 포함할 수 있다. 또한, 보호층은 레지스트층 밑에 있는 반사 방지 코팅(ARC)층을 포함할 수 있고, 그 레지스트층에 형성된 패턴은 밑에 있는 ARC층으로 전사된다. 레지스트층에서부터 ARC층으로의 패턴 전사 시에, 패턴의 임계 치수(CD : Critical Dimension)를 제어하는 것이 바람직하다. 또, 기판 전체에 걸쳐 CD 제어의 균일한 분포를 달성하는 것이 바람직하다. 또한, (이격 간격이 좁은)내포형 구조(nested structure) 및 (이격 간격이 넓은)독립형 구조(isolated structure) 모두에 대해 동일한 CD 제어를 달성하는 것이 바람직하다.
본 발명은 기판에 특징부를 에칭하는 방법에 관한 것이다.
또한, 본 발명은 기판 상에서 반사 방지 코팅(ARC)층에 특징부 패턴을 에칭하기 위한 방법에 관한 것이며, 보다 구체적으로 SF6 및 탄화수소 가스를 함유한 프로세스 가스로 형성된 플라즈마를 이용하여 실리콘 함유 ARC층에 특징부 패턴을 에칭하기 위한 방법에 관한 것이다.
일 실시형태에 따르면, Si를 함유하는 반사 방지 코팅(ARC)층을 패턴 에칭하는 방법을 개시한다. 본 방법은 SF6 및 탄화수소 가스를 함유한 프로세스 가스로부터 형성된 플라즈마를 이용하여 실리콘 함유 ARC층에 특징부 패턴을 에칭하는 단계를 포함한다. 이 방법은 특징부 패턴의 내포형 구조에 대한 최종 CD와 특징부 패턴의 독립형 구조에 대한 최종 CD 간의 CD 바이어스를 줄이기 위하여 SF6의 유량(flow rate)에 대한 탄화수소 가스의 유량을 조절하는 단계를 더 포함한다.
다른 실시형태에 따르면, 기판 상에 반사 방지 코팅(ARC)층을 건식 현상하기 위한 방법을 개시한다. 본 방법은, 다층 마스크를 포함하는 기판을 플라즈마 처리 시스템 내에 배치하는 단계로서, 다층 마스크는 실리콘 함유 ARC층을 덮는 리소그래피층을 포함하고, 이 리소그래피층은 리소그래피 프로세스를 이용하여 내부에 형성된 내포형 구조(nested structure) 및 독립형 구조(isolated structure)를 갖는 특징부 패턴을 포함하는 것인 기판 배치 단계와, 특징부 패턴을 실리콘 함유 ARC층에 전사하기 위한 프로세스 레시피를 확립하는 단계와, 상기 프로세스 레시피에 대해 하나 이상의 성능 계측값을 확립하는 단계로서, 상기 하나 이상의 성능 계측값은 내포형 구조에 대한 최초 임계 치수(CD : Critical Dimension)와 최종 CD 간의 타깃 내포형 CD 바이어스와, 독립형 구조에 대한 최초 CD와 최종 CD 간의 타깃 독립형 CD 바이어스와, 내포형 바이어스를 위한 최종 CD와 독립형 바이어스를 위한 최종 CD 간의 타깃 독립형-내포형 CD 바이어스를 포함하는 것인 성능 계측값 확립 단계와, 상기 프로세스 레시피에 따라, SF6 및 탄화수소 가스를 포함하는 프로세스 가스를 플라즈마 처리 시스템에 도입하는 단계와, 하나 이상의 성능 계측값 중 하나 이상을 달성하기 위해 상기 프로세스 레시피에서 SF6의 유량에 대한 탄화수소 가스의 유량을 조절하는 단계와, 프로세스 레시피에 따라 플라즈마 처리 시스템에 프로세스 가스로부터 플라즈마를 형성하는 단계와, 리소그래피층 내의 특징부 패턴을 밑에 있는 실리콘 함유 ARC층에 전사하기 위하여 상기 기판을 플라즈마에 노출시키는 단계를 포함한다.
또 다른 실시형태에 따르면, 기판 상에 반사 방지 코팅(ARC)층을 패턴 에칭하는 방법을 개시한다. 본 방법은 Si 함유 ARC층을 포함하는 기판을 플라즈마 처리 시스템 내에 배치하는 단계와, SF6, 구조식 CxHy의 탄화수소 가스, 및 선택적인 희가스로 이루어진 프로세스 가스를 플라즈마 처리 시스템에 도입하는 단계와, 프로세스 가스로부터 플라즈마를 형성하는 단계와, 기판을 플라즈마에 노출시키는 단계를 포함한다.
첨부 도면에 있어서,
도 1a 내지 도 1d는 일 실시형태에 따라 기판에 특징부 패턴을 에칭하기 위한 절차를 나타내는 개략도이다.
도 2는 일 실시형태에 따라 기판에 특징부 패턴을 에칭하는 방법을 나타내는 흐름도이다.
도 3은 일 실시형태에 따른 처리 시스템을 나타내는 개략도이다.
도 4는 다른 실시형태에 따른 처리 시스템을 나타내는 개략도이다.
도 5는 다른 실시형태에 따른 처리 시스템을 나타내는 개략도이다.
도 6은 다른 실시형태에 따른 처리 시스템을 나타내는 개략도이다.
도 7은 다른 실시형태에 따른 처리 시스템을 나타내는 개략도이다.
도 8은 다른 실시형태에 따른 처리 시스템을 나타내는 개략도이다.
도 9는 다른 실시형태에 따른 처리 시스템을 나타내는 개략도이다.
도 10a 내지 도 10c는 기판에 특징부 패턴을 에칭하기 위한 예시적인 데이터를 나타내는 도면이다.
제한의 용도가 아닌 설명을 위한 이하의 내용에서는, 처리 시스템의 특정 기하학적 구조, 각종 구성요소의 서술 및 이용되는 프로세스와 같은 특정 세부 사항을 개시한다. 그러나, 본 발명이 이 구체적인 세부 사항과 다른 기타 실시형태로도 실시될 수 있음을 이해되어야 한다.
재료 처리 방법론에 있어서, 기판에서 재료를 용이하게 제거하기 위해 또는 기판 상에 재료를 증착하기 위한 막 형성 반응을 용이하게 하기 위해 기판 상에서 계면 화학작용을 일으키고 지원하는 데에 종종 플라즈마를 이용한다. 기판 에칭 시에, 플라즈마는 기판의 표면 상에 소정의 재료와 반응하기에 적합한 반응성 화학종을 생성하는 데에 이용될 수 있다. 더욱이, 기판 에칭 시에, 플라즈마는 기판 상에서의 표면 반응에 에너지를 전달하기에 유용한 하전종(charged species)을 생성하는 데에 이용될 수 있다.
일례에 따르면, 패턴 에칭은, 에칭 시에 기판 상에서 패턴을 밑에 있는 박막에 전사하기 위한 마스크를 제공하기 위하여 얇은 감방사선 재료(예컨대, 포토레지스트)층과 같은 리소그래피층을, 후속해서 패터닝된 기판의 상면에 도포하는 것을 포함한다. 감방사선 재료의 패터닝은 예컨대 마이크로 리소그래피 시스템을 이용하여 전자기(EM) 방사선의 기하학적 패턴에 리소그래피층을 노출시키는 것과, 현상 용매를 이용하여 감방사선 재료의 조사된 영역(포지티브 포토레지스트의 경우)을 제거하거나, 조사되지 않은 영역(네거티브 레지스트의 경우)을 제거하는 것을 수반한다.
종래의 리소그래피 기술을 이용하여 리소그래피층에 보다 얇은 특징부를 패터닝하기 위하여 다층 마스크를 구현할 수 있다. 예컨대, 다층 마스크는 2층 마스크 또는 3층 마스크를 포함할 수 있다. 제2층 또는 제3층을 포함함으로써, 최상위 리소그래피층은 후속의 건식 에칭 프로세스를 견디기 위해 관례상 선택된 두께보다 더 얇을 수 있기 때문에, 종래의 리소그래피 기술을 이용하여, 더 미세한 특징부를 더 얇은 리소그래피층에 형성할 수 있다. 그리고 나서, 리소그래피층에 형성된 미세 특징부는 건식 에칭 프로세스 등의 건식 현상 프로세스를 이용하여, 밑에 있는 제2층 또는 제3층에 전사될 수 있다.
그러나, 패턴 전사 시에, 다층 마스크에 특징부를 형성해야 할 필요가 있으며, 그 임계 치수(CD : Critical Dimension)는 기판 전체에 걸쳐 균일하게 유지, 확대 또는 감소된다. 예컨대, 기판 전체에 걸쳐(예컨대, 중심에서 가장자리까지) 특징부 내 CD 바이어스의 균일한 분포(즉, 리소그래피층의 최초 CD와 밑에 있는 제2층이나 제3층의 최종 CD 간의 차이)를 이루는 것이 바람직하다. 더욱이, 패턴 전사 시에, 여전히 다층 마스크에 특징부를 형성해야 할 필요가 있고, 독립형-내포형 특징부 CD 바이어스[예컨대 (이격 간격이 좁은)내포형 구조(nested structure)에 대한 최종 CD와 (이격 간격이 넓은)독립형 구조(isolated structure)에 대한 최종 CD 간의 차이]가 줄어들거나 최소화된다.
이에, 특징부 내 CD 바이어스와 (내포형 구조와 독립형 구조 간의)독립형-내포형 특징부 CD 바이어스 등의, 다층 마스크 내의 패턴 결함을 보정할 필요성이 여전히 존재한다. 패턴 전사 시에, 종래의 프로세스 화학반응은 용인할 수 있는 CD 제어를 할 수 없다.
따라서, 일 실시형태에 따라, 기판에 특징부 패턴을 에칭하는 방법을 도 1a 내지 도 1d에 개략적으로 예시하며 도 2의 흐름도(500)로 나타낸다. 이 방법은 기판(100) 상에서 박막(110) 위에 다층 마스크(120)를 형성하는 단계와 함께 시작하며, 이 박막으로 특징부 패턴이 전사된다. 다층 마스크(120)는 리소그래피층(126), 제2 마스크층(124) 및 선택적인 제3 마스크층(122)을 포함한다.
기판(100)은 반도체 기판, SOI(Silicon-On-Insulator) 기판, 평판 디스플레이 또는 액정 디스플레이를 포함할 수 있다.
박막(110)은 도전체층, 비(非)도전체층, 또는 반(半)도전체층을 포함할 수 있다. 예를 들어, 박막(110)은 금속, 금속 산화물, 금속 질화물, 금속 산질화물, 금속 규산염, 금속 규화물, 실리콘, 다결정 실리콘(폴리실리콘), 도핑 실리콘, 실리콘 이산화물, 실리콘 질화물, 실리콘 탄화물 또는 실리콘 산질화물 등으로 이루어진 재료층을 포함할 수 있다. 추가로, 예컨대 박막(110)은 대략 4인 SiO2의 유전 상수(열 실리콘 이산화물의 유전 상수는 3.8∼3.9 범위 내에 있을 수 있음)보다 명목상 유전 상수값이 낮은 저 유전 상수(즉, 로우-k), 또는 초저 유전 상수(즉, 울트라 로우-k) 유전체층을 포함할 수 있다. 더욱 구체적으로, 박막(110)은 3.7 미만의 유전 상수 또는 1.6∼3.7 범위의 유전 상수를 가질 수 있다. 박막(110)은 다공성 또는 무공성일 수 있다.
일 실시형태에 따르면, 박막(110)은 실리콘 질화물(SiN 또는 보다 일반적으로는 SixNy)을 포함한다. 예컨대, 실리콘 질화물로 된 박막은 실리콘 내에 STI(Shallow Trench Isolation) 구조를 준비할 때 보호층으로서 이용될 수 있다. 거기서, 실리콘 질화물 박막은 실리콘 기판 또는 SOI 기판 상에서 산화물층 위에 있을 수 있다. 후술하는 바와 같이, 그 실리콘 질화물, 그리고 결국 밑에 있는 산화물층과 실리콘층에 특징부 패턴이 에칭된다. 그 결과 형성된 트렌치는 유전체 재료로 충전되어 평탄화된다.
박막(110)은 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 원자층 증착(ALD), 플라즈마 강화 ALD(PEALD), 물리적 기상 증착(PVD)이나 이온화 PVD(iPVD) 등의 기상 증착 기술, 또는 TEL(Tokyo Electron Limited)로부터 상업적으로 입수 가능한 Clean Track ACT 8 SOD(Spin-On Dielectric), ACT 12 SOD 및 Lithius 코팅 시스템에 제공되는 스핀 온 기술을 이용하여 형성될 수 있다. Clean Track ACT 8(200 ㎜), ACT 12 SOD (300 ㎜) 및 Lithius(300 ㎜) 코팅 시스템은 SOD 재료를 위한 코팅, 베이킹 및 경화 툴을 제공한다. 트랙 시스템은 100 ㎜, 200 ㎜, 300 ㎜ 및 그 이상의 기판 사이즈를 처리하도록 구성될 수 있다. 기판 상에 박막을 형성하기 위한 다른 시스템 및 방법은 스핀 온 기술 및 기상 증착 기술 양쪽 분야에 종사하는 당업자들에게 잘 알려져 있다.
리소그래피층(126)은 포토레지스트 등의 감방사선 재료층을 포함할 수 있다. 포토레지스트층은 248 ㎚(나노미터) 레지스트, 193 ㎚ 레지스트, 157 ㎚ 레지스트, EUV(Extreme UltraViolet) 레지스트 또는 감전자빔 레지스트를 포함할 수 있다. 포토레지스트층은 트랙 시스템을 이용하여 형성될 수 있다. 예컨대, 트랙 시스템은 TEL(Tokyo Electron Limited)로부터 상업적으로 입수 가능한 Clean Track ACT 8, ACT 12, 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트층을 형성하기 위한 다른 시스템 및 방법은 스핀 온 레지스트 기술 분야에 종사하는 당업자들에게 잘 알려져 있다
제2 마스크층(124)은 실리콘을 함유한 반사 방지 코팅(ARC)층과 같은 실리콘 함유층을 포함할 수 있다. 예컨대, 제2 마스크층(124)은 Shin Etsu Chemical Co., Ltd.로부터 Sepr-Shb Aseries SiARC처럼 상업적으로 입수 가능한 실리콘 함유 ARC를 포함할 수 있다. 제2 마스크층(124)은 예컨대 스핀 코팅 기술 또는 기상 증착 프로세스를 이용하여 도포될 수 있다.
선택적인 제3 마스크층(122)은 무기층 또는 유기층을 포함할 수 있다. 예컨대, 선택적인 제3 마스크층(122)은 유기 유전체층(ODL : Organic Dielectric Layer)을 포함할 수 있다. ODL은 감광성 유기 폴리머 또는 에칭 타입의 유기 화합물을 포함할 수 있다. 이를 테면, 감광성 유기 폴리머는 폴리아크릴레이트 수지, 에폭시 수지, 페놀 수지, 폴리아미드 수지, 폴리이미드 수지, 불포화 폴리에스테르 수지, 폴리페닐렌에테르 수지, 폴리페닐렌술피드 수지, 또는 벤조시클로부텐(BCB)일 수 있다. 이들 재료는 스핀 온 기술을 이용하여 형성될 수 있다.
도 1a에 도시하는 바와 같이, 리소그래피층(126)은 이미지 패턴에 의해 촬상된다. 레티클을 통과한 EM 방사선에의 노출은 건식 또는 습식 포토리소그래피 시스템에서 수행된다. 이미지 패턴은 임의의 적절한 종래의 스텝핑 리소그래피 시스템 또는 스캐닝 리소그래피 시스템을 이용하여 형성될 수 있다. 예컨대, 포토 리소그래피 시스템은 ASML Netherlands B.V.(De Run 6501, 5504 DR Veldhoven, The Netherlands 소재) 또는 Canon USA, Inc., Semiconductor Equipment Division(3300 North First Street, San Jose, CA 95134, US 소재)로부터 상업적으로 입수 가능하다. 그 후, 이미지 패턴은 리소그래피층(126)에서 현상되어 제1 임계 치수(CD)(132)를 갖는 독립형 구조(131)와 제1 CD(132')를 갖는 내포형 구조(131')를 포함하는 특징부 패턴(130)을 형성한다. 현상 프로세스는 트랙 시스템 등의 현상 시스템에서 기판을 현상 용매에 노출시키는 단계를 포함할 수 있다. 예컨대, 트랙 시스템은 TEL(Tokyo Electron Limited)로부터 상업적으로 입수 가능한 Clean Track ACT 8, ACT 12, 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다.
리소그래피층(126)의 패터닝에 이어서, 기판(100)은 이하에서 상세하게 설명하는 플라즈마 처리 시스템에 전달되어 배치될 수 있다.
도면부호 510에서는 도 1b에 도시하는 바와 같이, 독립형 구조(131)와 내포형 구조(131')를 포함하는 특징부 패턴(130)은 건식 플라즈마 에칭 프로세스를 이용하여, 리소그래피층(126)으로부터 실리콘 함유 ARC층과 같은 제2 마스크층(124)으로 전사된다. 에칭 프로세스는 프로세스 레시피를 확립하는 단계와, 그 프로세스 레시피에 따라, SF6 및 탄화수소 가스를 함유한 프로세스 가스를 플라즈마 처리 시스템에 도입하는 단계와, 프로세스 레시피에 따라 플라즈마 처리 시스템에 프로세스 가스로부터 플라즈마를 형성하는 단계와, 리소그래피층(126)의 특징부 패턴(130)을 그 밑에 있는 실리콘 함유 ARC층(124)으로 전사하기 위하여, 기판(100)을 플라즈마에 노출시키는 단계를 포함한다.
탄화수소 가스는 CxHy 함유 가스를 포함할 수 있고, 여기서 x와 y는 1 이상의 정수이다. 예컨대, 탄화수소 가스는 무(無)할로겐의 CxHy 함유 가스를 포함할 수 있다. 추가로, 탄화수소 가스는 예컨대 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 및 C6H12를 포함할 수 있다. 예컨대, 프로세스 가스는 SF6 및 C2H4를 포함할 수 있다. 이와 다르게, 프로세스 가스는 예컨대 SF6 및 C2H4로 이루어질 수도 있다.
프로세스 가스는 CF4, C3F6, C4F6, C4F8, C5F8, CHF3, CH2F2, 또는 이들 중 2가지 이상의 조합을 더 포함할 수 있다. 추가로, 프로세스 가스는 불활성 가스, 즉 플라즈마 존재 시 기판 표면에서 화학적으로 불활성인 가스를 더 포함할 수 있다. 예컨대, 프로세스 가스를 희가스(noble gas)를 포함할 수 있다. 이와 다르게, 프로세스 가스는 아르곤(Ar)을 포함할 수 있다.
도 1b에 도시하는 바와 같이, 패턴 전사 시에, 리소그래피층(126) 내의 특징부 패턴(130)의 독립형 구조(131)에 대한 제1 CD(132)는 제2 마스크층(124)의 제2 CD(142)로 유지되거나, 축소되거나, 확대된다. 부연해서, 패턴 전사 시에, 리소그래피층(126) 내의 특징부 패턴(130)의 내포형 구조(131')에 대한 제1 CD(132')는 제2 마스크층(124) 내의 제2 CD(142')로 유지되거나, 축소되거나, 확대된다. 타깃 독립형 CD 바이어스(특징부 내 CD 바이어스), 즉 제1 CD(132)와 제2 CD(142) 간의 차이는 실질적으로 제로, 플러스 또는 마이너스일 수 있다. 일 실시형태에서는 타깃 독립형 CD 바이어스는 실질적으로 제로이다(즉, 제로 트림 조건). 타깃 내포형 CD 바이어스(특징부 내 CD 바이어스), 즉 제1 CD(132')와 제2 CD(142') 간의 차이는 실질적으로 제로, 플러스 또는 마이너스일 수 있다. 일 실시형태에서는 타깃 내포형 CD 바이어스가 실질적으로 제로이다(즉, 제로 트림 조건).
프로세스 레시피의 확립은, SF6의 유량 설정, 탄화수소 가스의 유량 설정, 플라즈마 처리 시스템 내의 압력 설정, 기판을 지지하기 위한 기판 홀더 내에서 하부 전극에 인가되는 제1 고주파(RF) 신호에 대한 제1 전력 레벨 설정, 기판 위에서 하부 전극에 대향하는 상부 전극에 인가되는 제2 고주파(RF) 신호에 대한 제2 전력 레벨 설정, 플라즈마 처리 시스템에 대한 온도 조건 설정, 기판 또는 기판 홀더에 대한 온도 조건 설정, 에칭 시간 설정, 및/또는 오버에칭 시간 설정을 포함할 수 있다.
도면부호 520에서는, CD를 유지, 축소 또는 확대하기 위하여 SF6의 유량에 대한 탄화수소 가스의 유량을 조절한다. 추가로, SF6의 양(또는 유량)에 대한 탄화수소 가스의 양(또는 유량)의 비와 같은 비율을 조절할 수 있다. 예컨대, 독립형-내포형 CD 바이어스를 감소 및/또는 최소화하기 위해, 즉 내포형 구조에 대한 최종 CD와 실질적으로 동등한 독립형 구조에 대한 최종 CD를 달성하기 위해, 탄화수소 가스와 SF6의 상대 양을 조절할 수 있다.
독립형-내포형 CD 바이어스 등의 CD 바이어스의 조절은, (1) 프로세스 압력을 선택 및/또는 조절하는 단계와, (2) 플라즈마를 형성하기 위한 하나 이상의 전력 레벨을 선택 및/또는 조절하는 단계와, (3) 에칭 시간을 선택 및/또는 조절하는 단계, 및/또는 (4) 오버에칭 시간을 선택 및/또는 조절하는 단계를 더 포함할 수 있다. 독립형-내포형 CD 바이어스를 비롯한 CD 바이어스에 대한 프로세스 레시피의 영향에 관한 더 상세한 내용은 후술한다.
일 실시형태에서는, 타깃 독립형 CD 바이어스와 타깃 내포형 CD 바이어스가 실질적으로 제로이다(즉, 제로 트림 조건). 프로세스 가스로부터 플라즈마를 형성할 경우, 독립형 구조와 내포형 구조 양쪽에 대한 CD를 유지하도록 프로세스 레시피(예컨대, 제로 트림 조건을 달성하기 위한 제로 트림 프로세스 레시피)를 확립할 수 있다. 또 다른 실시형태에서는, 타깃 고립형-내포형 CD 바이어스가 대략 제로이다. 여기서, 프로세스 레시피는 내포형 구조와 독립형 구조 간의 CD 바이어스를 감소 또는 최소화하기 위해 조절된다. STI 구조의 제조 시에, 제로 트림 프로세스 레시피를 이용하는데 여기서 독립형-내포형 CD 바이어스를 감소 및/또는 최소화하는 것이 바람직하다.
특징부 패턴(130)이 제2 마스크층(124)의 두께를 통과하여 연장된다면, 제1 CD(132, 132')와 제2 CD(142, 142') 간의 차이 정도를 늘리거나 줄이기 위해 에칭 시간을 연장할 수 있다. 에칭 시간을 연장함으로써, 본 발명자들은 플라즈마 화학작용 및 이온 충격이 제1 CD(132, 132')에 대한 제2 CD(142, 142')를 줄일 수 있다는 것을 관찰하였다.
도 1c에 도시하는 바와 같이, 독립형 구조(131)와 내포형 구조(131')를 포함하는 특징부 패턴(130)은 하나 이상의 에칭 프로세스를 이용하여, 제2 마스크층(124)으로부터 밑에 있는 선택적인 제3 마스크층(122)으로 전사되어 제3 마스크층(122) 내에 독립형 구조(131)에 대한 제3 CD(152)와 내포형 구조(131')에 대한 제3 CD(152')를 형성한다. 제3 CD(152)는 제2 CD(142)와 실질적으로 같을 수도 있고, 제2 CD(142)보다 작을 수도 또는 제2 CD(142)보다 클 수도 있다. 또한, 제3 CD(152')는 제2 CD(142')와 실질적으로 같을 수도 있고, 제2 CD(142')보다 작을 수도 제2 CD(142')보다 클 수도 있다. 하나 이상의 에칭 프로세스는 습식 또는 건식 에칭 프로세스의 임의의 조합을 포함할 수 있다. 건식 에칭 프로세스는 건식 플라즈마 에칭 프로세스 또는 건식 비플라즈마 에칭 프로세스를 포함할 수 있다. 예컨대, 하나 이상의 에칭 프로세스는 CO2를 함유한 프로세스 가스로부터 형성된 플라즈마를 이용하는 건식 플라즈마 에칭 프로세스를 포함할 수 있다. 프로세스 가스는 O2, He, 및 HBr를 더 포함할 수 있다. 더욱이, 예컨대 하나 이상의 에칭 프로세스는 오버에칭 프로세스를 포함할 수 있다.
도 1d에 도시하는 바와 같이, 독립형 구조(131)와 내포형 구조(131')를 포함하는 특징부 패턴(130)은 하나 이상의 에칭 프로세스를 이용해, 선택적인 제3 마스크층(122)으로부터 밑에 있는 박막(110)으로 전사되어 박막(110)에서 독립형 구조(131)에 대한 제4 CD(162)와 내포형 구조(131')에 대한 제4 CD(162')를 형성한다. 예컨대, 하나 이상의 에칭 프로세스는 습식 또는 건식 에칭 프로세스의 임의의 조합을 포함할 수 있다. 건식 에칭 프로세스는 건식 플라즈마 에칭 프로세스 또는 건식 비플라즈마 에칭 프로세스를 포함할 수 있다. 예컨대, 박막(110)이 실리콘 질화물을 포함하는 경우, 하나 이상의 에칭 프로세스는 CF4 및 CHF3을 함유한 프로세스 가스로부터 형성된 플라즈마를 이용하는 건식 플라즈마 에칭 프로세스를 포함할 수 있다. 더욱이, 예컨대 하나 이상의 에칭 프로세스는 오버에칭 프로세스를 포함할 수 있다.
전술한 바와 같이, 본 방법은 특징부 패턴(130)을 제2 마스크층(124)으로 전사하기 위한 프로세스 레시피를 확립하는 단계를 포함할 수 있다. 또한, 본 방법은 그 프로세스 레시피에 대한 하나 이상의 성능 계측값을 확립하는 단계를 포함할 수 있는데, 그 하나 이상의 성능 계측값은 내포형 구조(131')에 대한 최초 임계 치수(CD)(132')와 최종 CD(142')(또는 152', 162') 간의 타깃 내포형 CD와, 독립형 구조(131)에 대한 최초 CD(132)와 최종 CD(142)(또는 152, 162) 간의 타깃 독립형 CD 바이어스, 및 내포형 구조에 대한 최종 CD(142')(또는 152', 162')와 독립형 구조에 대한 최종 CD(142)(또는 152, 162) 간의 타깃 독립형-내포형 CD 바이어스를 포함한다. 하나 이상의 성능 계측값은 전술한 타깃 사양 중 하나 이상을 달성하기 위한 각각의 허용오차(즉, 타깃값과 실제값 간의 차이)를 포함할 수 있다.
또한, 하나 이상의 성능 계측값은 내포형 구조(131')에 대한 최대 거칠기와 독립형 구조(131)에 대한 최대 거칠기를 포함할 수 있다. 예컨대, 그 거칠기는 패턴 전사 후에 남아있는 측벽(144)의 표면 상에서 측정될 수 있다. 거칠기는 특징부의 평균 표면 프로파일에 관한 거칠기의 산술 평균, 특징부의 평균 표면 프로파일에 관한 거칠기의 실효(root-mean-squared) 편차, 특징부의 평균 표면 프로파일에 관한 거칠기의 최대 밸리 폭, 특징부의 평균 표면 프로파일에 관한 거칠기의 최대피크 높이, 거칠기 프로파일의 최대 높이(또는 최소 높이와 최대 높이 간의 범위), 또는 이들 중 2가지 이상의 임의의 조합을 더 포함하는 것을 특징으로 한다.
더욱이, 하나 이상의 성능 계측값은 내포형 구조(131')에 대한 CD 균일성 및 독립형 구조(131)에 대한 CD 균일성을 포함할 수 있다. 예컨대, CD 균일성은 제1 CD(132, 132'), 제2 CD(142, 142'), 제3 CD(152, 152'), 제4 CD(162, 162'), 특징부 내 CD 바이어스, 및/또는 독립형-내포형 CD 바이어스의 공간 통계치(spatial statistics)로부터 결정될 수 있다.
하나 이상의 성능 계측값은 현장내(in-situ) 계측, 현장외(ex-situ) 계측 또는 이들의 조합을 이용하여 모니터링 및/또는 검증될 수 있다. 예컨대, 전자의 경우, 광학 스캐터로메트리(optical scatterometry) 등의 광학 계측을 이용하여 CD를 측정 및/또는 모니터링할 수 있다. 광학 스캐터로메트리에서는 주기적 격자가 반도체 소자 내 작동 구조의 형성 위치 근방에서 반도체 기판에 매립된다. 주기적 격자의 프로파일을 결정함으로써, 주기적 격자를 형성하는데 이용된 제조 프로세스의 품질, 및 더 나아가 주기적 격자에 가까운 반도체 소자의 작동 구조를 평가할 수 있다. 또한, 예컨대 후자의 경우, CD-SEM(임계 치수 스캐닝 전자 마이크로스코피) 계측을 특징부 단면에서 수행하여 CD를 측정 및/또는 모니터링할 수 있다.
일 실시형태에 따르면, 전술한 프로세스 조건을 수행하도록 구성된 플라즈마 처리 시스템(1a)이 도 3에 도시되며, 이 시스템은 플라즈마 처리 챔버(10)와, 피처리 기판(25)이 부착되는 기판 홀더(20)와, 진공 펌핑 시스템(50)을 포함한다. 기판(25)은 반도체 기판, 웨이퍼, 평판 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(10)는 기판(25)의 표면 근방에 있는 처리 영역(45)에서의 플라즈마 생성을 용이하게 하도록 구성될 수 있다. 이온화 가능 가스 또는 프로세스 가스의 혼합물이 가스 분배 시스템(40)을 통해 도입된다. 프로세스 가스의 주어진 흐름에 대해, 진공 펌핑 시스템(50)을 이용하여 프로세스 압력이 조절된다. 미리 정해진 재료 프로세스에 맞는 재료를 형성하는 데에 및/또는 기판(25)의 노출면에서 재료의 제거를 돕는 데에 플라즈마가 이용될 수 있다. 플라즈마 처리 시스템(1a)은 200 ㎜ 기판, 300 ㎜ 기판 또는 그 이상의 임의의 원하는 사이즈의 기판을 처리하도록 구성될 수 있다.
기판(25)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예컨대, 정전기 클램핑 시스템)과 같은 클램핑 시스템(28)을 통해 기판 홀더(20)에 부착될 수 있다. 더욱이, 기판 홀더(20)는 기판 홀더(20)와 기판(25)의 온도를 조절 및/또는 제어하도록 구성되는 가열 시스템(도시 생략) 또는 냉각 시스템(도시 생략)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은, 냉각 시 기판 홀더(20)로부터 열을 받아 그 열을 열 교환기 시스템(도시 생략)에 전달하거나, 가열 시 열 전달 시스템으로부터의 열을 기판 홀더(20)에 전달하는 재순환류의 열전달 유체를 포함할 수 있다. 다른 실시형태에서는 플라즈마 처리 챔버(10)의 챔버 벽과 그 플라즈마 처리 시스템(1a) 내의 임의의 다른 구성요소뿐만 아니라 기판 홀더(20)에 저항식 가열 소자 등의 가열/냉각 소자, 또는 열전 가열기/냉각기가 포함될 수 있다.
또한, 기판(25)과 기판 홀더(20) 간의 가스갭 열 전달계수(gas-gap thermal conductance)를 높이기 위하여 후면 가스 공급 시스템(26)을 통해 기판(25)의 후면에 열 전달 가스를 전달할 수 있다. 그러한 시스템은 온도 상승 시에 또는 온도 하강 시에 기판의 온도 제어가 필요할 때 이용될 수 있다. 예컨대, 후면 가스 공급 시스템은 2구역 가스 분배 시스템을 포함하는데, 이 시스템에서는 헬륨 가스 갭 압력이 기판(25)의 중심과 가장자리 사이에서 독립적으로 변할 수 있다.
도 3에 도시한 실시형태에 있어서, 기판 홀더(20)는 RF 전력이 처리 구역(45) 내 처리 플라즈마에 공급되기 위해서 통과하는 전극을 포함할 수 있다. 예컨대, 기판 홀더(20)는 RF 발생기(30)로부터 선택적인 임피던스 매칭 네트워크(32)를 통해 기판 홀더(20)에의 RF 전력 전달을 통해 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 플라즈마를 형성하여 유지하기 위해 전자를 가열하는 기능을 할 수 있다. 이 구성에서는 시스템이 반응성 이온 에칭(RIE : Reactive Ion Etch) 리액터로서 동작할 수 있는데, 여기에서 챔버 및 상부 가스 주입 전극은 접지면으로서 기능한다. RF 바이어스의 통상 주파수 범위는 약 0.1 ㎒∼약 100 ㎒일 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자들에게 잘 알려져 있다.
다른 방법에서는, RF 전력이 다중 주파수로 기판 홀더 전극에 인가된다. 더욱이, 임피던스 매칭 네트워크(32)는 반사 전력을 감소시킴으로써 플라즈마 처리 챔버(10)에서 플라즈마로의 RF 전력 전달을 향상시킬 수 있다. 매칭 네트워크 토폴로지(예컨대, L 타입, π 타입, T 타입 등) 및 자동 제어 방법은 당업자들에게 잘 알려져 있다.
가스 분배 시스템(40)은 프로세스 가스의 혼합물을 도입하기 위한 샤워헤드 설계를 포함할 수 있다. 이와 다르게, 가스 분배 시스템(40)은 프로세스 가스의 혼합물을 도입하고 기판(25) 위에서 프로세스 가스의 혼합물의 분배를 조절하기 위한 위한 멀티존 샤워헤드 설계를 포함할 수도 있다. 예컨대, 멀티존 샤워헤드 설계는 기판(25) 상의 실질적으로 중심부에의 프로세스 가스의 흐름량 또는 조성에 대한 기판(25) 상의 실질적으로 주변부에의 프로세스 가스의 흐름량 또는 조성을 조절하도록 구성될 수 있다.
진공 펌핑 시스템(50)은 펌핑 속도가 초당 최대 약 5000 리터(그리고 그 이상)까지 가능한 터보 분자 진공 펌프(TMP : Turbo-Molecular vacuum Pump)와, 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 종래의 플라즈마 처리 장치에서는 초당 1000 내지 3000 리터의 TMP를 채용할 수 있다. TMP는 저압 처리, 통상 약 50 mTorr 미만에 유용하다. 고압 처리(즉, 약 100 mTorr 초과)의 경우, 기계적 부스터 펌프 및 건식 러핑 펌프를 이용할 수 있다. 더욱이, 챔버 압력을 모니터링하기 위한 장치(도시 생략)가 플라즈마 처리 챔버(10)에 연결될 수 있다. 예컨대, 압력 측정 장치는 MKS Instruments, Inc.(Andover, MA, US 소재)로부터 상업적으로 입수 가능한 Type 628B Baratron 절대 정전용량 마노미터일 수 있다.
컨트롤러(55)는 마이크로프로세서, 메모리, 및 디지털 I/O 포트를 포함하고, 이 디지털 I/O 포트는 플라즈마 처리 시스템(1a)으로부터의 출력을 모니터링할 뿐만 아니라, 플라즈마 처리 시스템(1a)으로 입력을 전달하여 활성화하기에 충분한 제어 전압을 생성할 수 있다. 또한, 컨트롤러(55)는, 기판 가열/냉각 시스템(도시 생략), 후면 가스 전달 시스템(26), 및/또는 정전기 클램핑 시스템(28)뿐만 아니라 RF 발생기(30), 임피던스 매칭 네트워크(32), 가스 분배 시스템(40), 진공 펌핑 시스템(50)에 연결될 수 있으며, 이들과 정보를 교환할 수 있다. 예컨대, 메모리에 저장된 프로그램은 기판(25) 상에서 플라즈마 지원 프로세스(plasma assisted process)를 수행하기 위하여 프로세스 레시피에 따라 플라즈마 처리 시스템(1a)의 전술한 구성요소에 대한 입력을 활성화하는데 이용될 수 있다.
컨트롤러(55)는 플라즈마 처리 시스템(1a)에 대해 근처에 위치할 수도 있고, 또는 플라즈마 처리 시스템(1a)에 대해 원격으로 위치할 수도 있다. 예컨대, 컨트롤러(55)는 직접 통신, 인트라넷 및/또는 인터넷을 이용하여 플라즈마 처리 시스템(1a)과 데이터를 교환할 수 있다. 컨트롤러(55)는 예컨대 소비자 사이트(즉, 디바이스 메이커 등)에서 인트라넷에 연결될 수도 있고, 또는 예컨대 판매자 사이트(즉, 장비 제조업자)에서 인트라넷에 연결될 수도 있다. 이와 다르게 또는 추가적으로, 컨트롤러(55)는 인터넷에 연결될 수도 있다. 더욱이, 또 다른 컴퓨터(즉, 컨트롤러, 서버 등)은 직접 통신, 인트라넷 및/또는 인터넷을 통해 데이터를 교환하도록 컨트롤러(55)에 액세스할 수 있다.
도 4에 도시한 실시형태에서는, 플라즈마 처리 시스템(1b)이 도 3의 실시형태와 유사할 수 있으며, 도 3을 참조하여 설명한 구성요소들 외에, 플라즈마 밀도를 잠재적으로 높이고 및/또는 플라즈마 처리 균일성을 향상시키기 위하여, 고정형 자계 시스템, 또는 기계나 전기 회전형 자계 시스템(60) 중 하나를 더 포함한다. 또한, 컨트롤러(55)는 회전 속도 및 자계 강도를 조절하도록 자계 시스템(60)에 연결될 수 있다. 회전형 자계 시스템의 설계 및 구현은 당업자들에게 잘 알려져 있다.
도 5에 도시한 실시형태에서는, 플라즈마 처리 시스템(1c)이 도 3 또는 도 4의 실시형태와 유사할 수 있으며, RF 전력이 RF 발생기(72)로부터 선택적인 임피던스 매칭 네트워크(74)를 통해 공급되는 상부 전극(70)을 더 포함할 수 있다. 상부 전극에의 RF 전력의 인가 주파수는 그 범위가 약 0.1 ㎒∼약 200 ㎒일 수 있다. 또한, 하부 전극에의 RF 전력의 인가 주파수는 그 범위가 약 0.1 ㎒∼약 100 ㎒일 수 있다. 또, 컨트롤러(55)는 상부 전극(70)에의 RF 전력의 인가를 제어하기 위하여 RF 발생기(72)와 임피던스 매칭 네트워크(74)에 연결된다. 상부 전극의 설계 및 구현은 당업자들에게 잘 알려져 있다. 상부 전극(70)과 가스 분배 시스템(40)은 도시된 바와 같이 동일한 챔버 어셈블리 내에 설계될 수 있다.
도 6에 도시하는 실시형태에서는, 플라즈마 처리 시스템(1c')이 도 5의 실시형태와 유사할 수 있으며, 기판(25)에 대향하는 상부 전극(70)에 연결된 직류(DC) 전원(90)을 더 포함할 수 있다. 상부 전극(70)은 전극판을 포함할 수 있다. 전극판은 실리콘 함유 전극판을 포함할 수 있다. 또, 전극판은 도핑 실리콘 전극판을 포함할 수 있다. DC 전원(90)은 가변 DC 전원을 포함할 수 있다. 추가로, DC 전원은 양극성 DC 전원을 포함할 수 있다. DC 전원(90)은 그 DC 전원(90)의 극성, 전류, 전압 또는 온/오프 상태를 모니터링하기, 조절하기, 또는 제어하기 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 일단 플라즈마가 형성되면, DC 전원(90)은 탄도 전자빔의 형성을 용이하게 한다. 전기 필터는 DC 전원(90)으로부터의 RF 전력을 결합 해제하는데 이용될 수 있다.
에컨대, DC 전원(90)에 의해 상부 전극(70)에 인가된 DC 전압은 대략 -2000 볼트(V)∼대략 1000 V에 이를 수 있다. 바람직하게는 DC 전압의 절대값은 대략 100 V 이상이고, 보다 바람직하게는 DC 전압의 절대값은 대략 500 V 이상이다. 부연하면, DC 전압은 음극성을 갖는 것이 바람직하다. 또한, DC 전압은 상부 전극(70)의 표면에서 생성된 셀프 바이어스 전압보다 높은 절대값을 갖는 마이너스 전압인 것이 바람직하다. 기판 홀더(20)와 마주보는 상부 전극(70)의 표면은 실리콘 함유 재료로 이루어질 수 있다.
도 7에 도시한 실시형태에 있어서, 플라즈마 처리 시스템(1d)은 도 3과 도 4의 실시형태와 유사할 수 있으며, RF 전력이 RF 발생기(82)를 거쳐 선택적인 임피던스 매칭 네트워크(84)를 통과하여 공급되는 유도성 코일(80)을 더 포함할 수 있다. RF 전력은 유도성 코일(80)로부터 유전체 윈도우(도시 생략)를 통해 플라즈마 처리 영역(45)에 유도적으로 결합된다. 유도성 코일(80)에의 RF 전력의 인가 주파수는 그 범위가 약 10 ㎒∼약 100 ㎒에 이를 수 있다. 마찬가지로, 척 전극에의 RF 전력의 인가 주파수는 그 범위가 약 0.1 ㎒∼약 100 ㎒에 이를 수 있다. 또한, 슬롯형 패러데이 차폐물(도시 생략)을 채용하여 유도성 코일(80)과 처리 영역(45) 내의 플라즈마와의 사이에 용량성 결합을 줄일 수 있다. 또한, 컨트롤러(55)는 유도성 코일(80)에의 전력 인가를 제어하기 위하여 RF 발생기(82) 및 임피던스 매칭 네트워크(84)에 연결될 수 있다.
대안적 실시형태에 있어서, 도 8에 도시하는 바와 같이, 플라즈마 처리 시스템(1e)은 도 7의 실시형태와 유사할 수 있고, 트랜스포머 결합형 플라즈마(TCP : Transformer Coupled Plasma) 리액터에서와 같이 위에서부터 플라즈마 처리 영역(45)과 교통하는 "나선형" 코일 또는 "팬케익형" 코일인 유도성 코일(80')을 포함할 수 있다. 유도성 결합 플라즈마(ICP : Inductively Coupled Plasma) 소스 또는 트랜스포머 결합형 플라즈마(TCP) 소스의 설계 및 구현은 당업자들에게 잘 알려져 있다.
이와 다르게, 플라즈마는 전자 사이클로트론 공진기(ECR : Electron Cyclotron Resonace)를 이용하여 형성될 수도 있다. 또 다른 실시형태에서는 플라즈마가 헬리콘파의 개시에 의해 형성된다. 또 다른 실시형태에서는 플라즈마가 전파되는 표면파로부터 형성된다. 전술한 각각의 플라즈마 소스는 당업자들에게 잘 알려져 있다
도 9에 도시하는 실시형태에 있어서, 플라즈마 처리 시스템(1f)은 도 3과 도 4의 실시형태와 유사할 수 있고, 표면파 플라즈마(SWP) 소스(80")를 더 포함할 수 있다. SWP 소스(80")는 마이크로파 전력이 마이크로파 발생기(82')를 거쳐 선택적인 임피던스 매칭 네트워크(84')를 통과하는 방사형 라인 슬롯 안테나(RLSA : Radial Line Slot Antenna) 등의 슬롯 안테나를 포함할 수 있다.
이하에서는, 건식 플라즈마 에칭 시스템을 이용하여 기판 상에서 다층 마스크에 특징부를 에칭하는 방법을 개시한다. 예컨대, 건식 플라즈마 에칭 시스템은 도 3 내지 도 9에서 설명한 바와 같은 다양한 요소들과, 이들의 조합을 포함할 수 있다. 더욱이, 예컨대 다층 마스크는 실리콘 함유 ARC층 등의 제2 마스크층을 덮는 패터닝된 다층 마스크를 포함할 수 있다.
일 실시형태에서는 제2 마스크층에 특징부 패턴을 에칭하는 방법을 개시하며, 이 방법은 프로세스 레시피를 이용하여 특징부 패턴의 내포형 구조와 특징부 패턴의 독립형 구조 간의 CD 바이어스를 줄인다. 다른 실시형태에서는, 제2 마스크층에 특징부 패턴을 에칭하는 방법을 개시하며, 이 방법은 프로세스 레시피를 이용하여, 특징부 패턴의 내포형 구조와 특징부 패턴의 독립형 구조 간의 CD 바이어스를 줄이면서, 그 내포형 구조와 그 독립형 구조 양쪽에 대해 제로 트림 조건을 유지한다. 제2 마스크층은 실리콘 함유 ARC층을 포함할 수 있다.
프로세스 레시피는 SF6, 탄화수소 가스(예컨대, C2H4 등의 무할로겐 CxHy 함유 가스) 및 선택적인 불활성 가스를 갖는 프로세스 화학작용을 포함한다. 예컨대, 프로세스 파라미터 스페이스는 약 5 mTorr(밀리토르)∼약 1000 mTorr의 챔버 압력, 약 1 sccm(standard cubic centimeter per minute)∼약 1000 sccm에 이르는 SF6 프로세스 가스 유량, 약 1 sccm∼약 1000 sccm에 이르는 탄화수소 가스 프로세스 가스 유량, 약 1 sccm∼약 1000 sccm에 이르는 선택적인 불활성 프로세스 가스 유량, 약 0 W(와트)∼약 1000 W에 이르는 하부 전극에의 제1 전력 레벨, 약 0 V∼약 -2500 V에 이르는 상부 전극 DC 전압, 약 0 W∼약 2000 W에 이르는 상부 전극[도 6의 요소(70)]에의 제2 전력 레벨을 포함할 수 있다. 또한, 상부 전극 전력의 주파수 범위는 약 0.1 ㎒∼약 200 ㎒, 예컨대 60 ㎒일 수 있다. 또, 하부 전극 전력에 대한 주파수 범위는 100 ㎒, 예컨대 2 ㎒일 수 있다.
한편, 챔버 압력은 약 100 mTorr 이하일 수도 있다. 이와 다르게, 챔버 압력은 약 50 mTorr 이하일 수도 있다. 또, 챔버 압력은 약 30 mTorr 이하일 수도 있다.
한편, 제1 전력 레벨은 약 200 W 이하일 수도 있다. 이와 다르게, 제1 전력 레벨은 약 100 W 이하일 수도 있다.
한편, 제2 전력 레벨의 범위는 약 100 W∼약 500 W일 수도 있다. 이와 다르게, 제2 전력 레벨의 범위는 약 100 W∼약 300 W일 수도 있다.
한편, 프로세스 가스 SF6의 유량 범위는 약 100 sccm∼약 300 sccm일 수도 있다. 이와 다르게, 프로세스 가스 SF6의 유량 범위는 약 150 sccm∼약 250 sccm일 수도 있다.
한편, 프로세스 가스로서 C2H4와 같은 탄화수소 가스의 유량 범위는 약 10 sccm∼약 50 sccm일 수도 있다. 이와 다르게, 프로세스 가스로서 C2H4와 같은 탄화수소 가스의 유량 범위는 약 20 sccm∼약 40 sccm일 수도 있다.
한편, 프로세스 가스 SF6의 유량에 대한, 프로세스 가스로서 C2H4와 같은 탄화수소 가스의 유량 비는 약 0.05∼약 0.3에 이를 수 있다. 이와 다르게, 프로세스 가스 SF6의 유량에 대한, 프로세스 가스로서 C2H4와 같은 탄화수소 가스의 유량 비는 약 0.1∼약 0.2에 이를 수 있다.
다른 실시형태에서는 제2 마스크층과 제3 마스크층에 특징부 패턴을 에칭하는 방법을 개시하며, 이 방법은 특징부 패턴을 제2 마스크층에 전사하기 위한 제1 프로세스 레시피와, 특징부 패턴을 제3 마스크층에 전사하기 위한 제2 프로세스 레시피를 이용한다. 제2 마스크층은 실리콘 함유 ARC층을 포함할 수 있고, 제3 마스크층은 ODL을 포함할 수 있다. 제1 및 제2 프로세스 레시피는 특징부 패턴의 내포형 구조와 특징부 패턴의 독립형 구조 간에 CD 바이어스를 줄이기 위하여 선택된다. 다른 실시형태에서는 제1 및 제2 프로세스 레시피가 특징부 패턴의 내포형 구조와 특징부 패턴의 독립형 구조 간의 CD 바이어스를 줄이면서 그 내포형 구조와 그 독립형 구조 양쪽에 대해 제로 트림 조건을 유지하도록 선택된다.
제1 프로세스 레시피는 SF6, 탄화수소 가스(예컨대, C2H4 등의 무할로겐 CxHy 함유 가스) 및 선택적인 불활성 가스를 갖는 프로세스 화학작용을 포함한다. 예컨대, 프로세스 파라미터 스페이스는 약 5 mTorr∼약 1000 mTorr의 챔버 압력, 약 1 sccm∼약 1000 sccm에 이르는 SF6 프로세스 가스 유량, 약 1 sccm∼약 1000 sccm에 이르는 탄화수소 가스 프로세스 가스 유량, 약 1 sccm∼약 1000 sccm에 이르는 선택적인 불활성 프로세스 가스 유량, 약 0 W(와트)∼약 1000 W에 이르는 하부 전극에의 제1 전력 레벨, 약 0 V∼약 -2500 V에 이르는 상부 전극 DC 전압, 약 0 W∼약 2000 W에 이르는 상부 전극[도 6의 요소(70)]에의 제2 전력 레벨을 포함할 수 있다. 또한, 상부 전극 전력의 주파수 범위는 약 0.1 ㎒∼약 200 ㎒, 예컨대 60 ㎒일 수 있다. 또, 하부 전극 전력의 주파수 범위는 약 0.1 ㎒∼약 100 ㎒, 예컨대 2 ㎒일 수 있다.
한편, 제1 프로세스 레시피의 경우, 챔버 압력은 약 100 mTorr 이하일 수도 있다. 이와 다르게, 챔버 압력은 약 50 mTorr 이하일 수도 있다. 또, 챔버 압력은 약 30 mTorr 이하일 수도 있다.
한편, 제1 프로세스 레시피의 경우, 제1 전력 레벨은 약 200 W 이하일 수도 있다. 이와 다르게, 제1 전력 레벨은 약 100 W 이하일 수도 있다.
한편, 제1 프로세스 레시피의 경우, 제2 전력 레벨의 범위는 약 100 W∼약 500 W일 수도 있다. 이와 다르게, 제2 전력 레벨의 범위는 약 100 W∼약 300 W일 수도 있다.
한편, 제1 프로세스 레시피의 경우, 프로세스 가스 SF6의 유량 범위는 약 100 sccm∼약 300 sccm일 수도 있다. 이와 다르게, 프로세스 가스 SF6의 유량 범위는 약 150 sccm∼약 250 sccm일 수도 있다.
한편, 제1 프로세스 레시피의 경우, 프로세스 가스로서 C2H4와 같은 탄화수소 가스의 유량 범위는 약 10 sccm∼약 50 sccm일 수도 있다. 이와 다르게, 프로세스 가스로서 C2H4와 같은 탄화수소 가스의 유량 범위는 약 20 sccm∼약 40 sccm일 수도 있다.
한편, 프로세스 가스 SF6의 유량에 대한, 프로세스 가스로서 C2H4와 같은 탄화수소 가스의 유량 비는 약 0.05∼약 0.3에 이를 수 있다. 이와 다르게, 프로세스 가스 SF6의 유량에 대한, 프로세스 가스로서 C2H4와 같은 탄화수소 가스의 유량 비는 약 0.1∼약 0.2에 이를 수 있다.
제2 프로세스 레시피는 CO2, 선택적인 불활성 가스(예컨대, He), 선택적인 산소 함유 가스(예컨대, O2), 및 선택적인 할로겐 함유 가스(예컨대, HBr)를 갖는 프로세스 화학작용을 포함할 수 있다. 예컨대, 프로세스 파라미터 스페이스는 약 5 mTorr∼약 1000 mTorr의 챔버 압력, 약 1 sccm∼약 1000 sccm에 이르는 CO2 프로세스 가스 유량, 약 1 sccm∼약 1000 sccm에 이르는 선택적인 불활성 프로세스 가스 유량, 약 1 sccm∼약 1000 sccm에 이르는 선택적인 산소 함유 프로세스 가스 유량, 약 1 sccm∼약 1000 sccm에 이르는 선택적인 할로겐 함유 프로세스 가스 유량, 약 0 W(와트)∼약 1000 W에 이르는 하부 전극에의 제1 전력 레벨, 약 0 V∼약 -2500 V에 이르는 상부 전극 DC 전압, 약 0 W∼약 2000 W에 이르는 상부 전극[도 6의 요소(70)]에의 제2 전력 레벨을 포함할 수 있다. 또한, 상부 전극 전력의 주파수 범위는 약 0.1 ㎒∼약 200 ㎒, 예컨대 60 ㎒일 수 있다. 또, 하부 전극 전력의 주파수 범위는 약 0.1 ㎒∼약 100 ㎒, 예컨대 2 ㎒일 수 있다.
한편, 제2 프로세스 레시피의 경우, 챔버 압력은 약 100 mTorr 이하일 수도 있다. 이와 다르게, 챔버 압력은 약 50 mTorr 이하일 수도 있다. 또, 챔버 압력은 약 30 mTorr 이하일 수도 있다.
한편, 제2 프로세스 레시피의 경우, 제1 전력 레벨은 약 200 W 이하일 수도 있다. 이와 다르게, 제1 전력 레벨은 약 100 W 이하일 수도 있다.
한편, 제2 프로세스 레시피의 경우, 제2 전력 레벨의 범위는 약 100 W∼약 1000 W일 수도 있다. 이와 다르게, 제2 전력 레벨의 범위는 약 400 W∼약 600 W일 수도 있다.
한편, 제2 프로세스 레시피의 경우, 프로세스 가스 CO2의 유량 범위는 약 50 sccm∼약 150 sccm일 수도 있다. 이와 다르게, 제2 프로세스 레시피의 경우, 프로세스 가스 O2의 유량 범위는 약 10 sccm∼약 100 sccm일 수도 있다. 한편, 제2 프로세스 레시피의 경우, 프로세스 가스 He의 유량 범위는 약 100 sccm∼약 300 sccm일 수도 있다. 한편, 제2 프로세스 레시피의 경우, 프로세스 가스 HBr의 유량 범위는 약 10 sccm∼약 100 sccm일 수도 있다.
일례로, 특징부 패턴의 내포형 구조와 특징부 패턴의 독립형 구조 간의 CD 바이어스를 줄이고 그 내포형 구조와 그 독립형 구조 양쪽에 대해 제로 트림 조건을 유지하면서 특징부 패턴을 실리콘 함유 ARC층, ODL 및 밑에 있는 실리콘 질화물층에 전사하는 방법이 제공된다. 표 1은 특징부 패턴 전사 프로세스를 수행하기 위한 3개의 프로세스 레시피를 제공한다.
Figure pat00001
레시피 1은 SF6만 갖는 프로세스 가스를 이용하는 실리콘 함유 ARC층 에칭 프로세스("Si-ARC")를 포함한다. 또한, 레시피 1은 2단계 ODL 에칭 프로세스("ODL" 및 "ODL-OE")를 포함하는데, 이 제1 단계("ODL")는 메인 에칭 단계이고, 제2 단계("ODL-OE")는 오버에칭 단계이다. 더욱이, 레시피 1은 2단계 실리콘 질화물(SiN) 에칭 프로세스("SiN" 및 "SiN-OE")를 포함하는데, 이 제1 단계("SiN")는 메인 에칭 단계이고, 제2 단계("SiN-OE")는 오버에칭 단계이다. SiN 에칭에 이어서, 임의의 남아있는 마스크층 재료를 제거하기 위해 애싱 단계("ASH")가 수행된다.
레시피 2와 레시피 3은 실리콘 함유 ARC층 에칭 프로세스("Si-ARC")를 포함하는데, 이 프로세스는 SF6 및 C2H4를 갖는 프로세스 가스를 이용한다. 또, 레시피 2와 레시피 3은 2단계 ODL 에칭 프로세스("ODL" 및 "ODL-OE")와 2단계 실리콘 질화물(SiN) 에칭 프로세스("SiN" 및 "SiN-OE")를 포함한다.
각 프로세스 단계마다, 도 5에 도시한 바와 같은 플라즈마 처리 시스템에서 에칭 프로세스가 수행된다. 또, 상부 전극에 대한 RF 전력의 주파수는 약 60 ㎒이고, 하부 전극에 대한 RF 전력의 주파수는 약 2 ㎒이다.
또, 각 프로세스 레시피에 대해, 표 1은 압력(mTorr)과, 상부 전극에의 RF 전력(W)과, 하부 전극에의 RF 전력(W)과, 프로세스 가스 유량(sccm, standard cubic centimeters per minute)과, 기판의 후면에 전달되는 중심/가장자리(C/E)(헬륨) 압력과, 상부 전극(UEL, "U")[예컨대, 도 5의 상부 전극(70)], 챔버벽("W"), 하부 전극 중심/가장자리(LEL, "Lc" 및 "Le"), 및 칠러("chiller")에 대한 온도 설정(섭씨, ℃), 및 에칭 시간(초, sec)을 비롯한 프로세스 조건을 프로세스 단계마다 제공한다.
표 2에 나타내는 바와 같이, 내포형 구조에 대한 CD("CD-Nest"), 독립형 구조에 대한 CD("CD-Iso"), 및 내포형 구조와 독립형 구조("Iso-Nested") 간의 CD 바이어스의 평균, 최대, 최대 및 3σ 값이 각 프로세스 레시피를 이용하는 결과로서 제공된다. CD 및 CD 바이어스는 실리콘 질화물(SiN)층에 생기는 특징부 패턴에 대해 측정된다(모든 단위는 나노미터, ㎚). 본 발명자들이 관찰한 바, CD 바이어스는 C2H4 가스를 SF6에 추가하고 C2H4 가스의 상대량을 조절할 때(즉, 레시피 2 사용 시) 감소된다.
Figure pat00002
이제 도 10a 내지 도 10c를 참조하면, 내포형 구조에 대한 CD["내포형(NEST) CD"], 독립형 구조에 대한 CD["독립형(ISO) CD"], 및 내포형 구조와 독립형 구조 간의 CD 바이어스("ISO-NEST CD")가 각각 표 1에 나타낸 프로세스 레시피마다 기판 상의 반경 방향 위치(㎜, 밀리미터)의 함수로서 제공된다. 표 2에 나타내는 통계치는 도 10a 내지 도 10c에 대해 설명한 데이터를 이용하여 산출된다.
도 10a와 도 10b에 도시하는 바와 같이, SF6에 C2H4를 추가하면 내포형 구조 및 독립형 구조 양쪽에서 각각 CD가 상승한다. 그러나, C2H4를 추가하면, 내포형 구조에 대한 CD에서의 대응하는 상승보다 더 많은 양으로 독립형 구조에 대한 CD를 상승시켜 CD 바이어스를 줄인다. CD 바이어스에 대한 결과를 도 10c에 나타낸다.
도 10a 내지 도 10c의 추가 검사는, SF6에 C2H4를 추가하면 기판 전체에 걸쳐 CD 균일성을 향상시키는 것을 나타낸다. 또한, 본 발명자들은 SF6에 C2H4를 추가하면 측벽 거칠기를 높일 뿐만 아니라(또는 낮출 뿐만 아니라) 특징부 내 CD 바이어스를 줄인다는 것을 관찰하였다.
본 발명자들은, SF6만 이용하면(탄화수소 가스나 기타 가스 추가 없이) 내포형 구조보다 독립형 구조에 대한 CD가 더 많이 훼손되어, 관찰한 CD 바이어스가 발생하는 것을 관찰하였다. 탄화수소 가스를 추가하면 내포형 구조와 독립형 구조 양쪽에 대한 이러한 CD의 훼손을 줄이고, 구조 프로파일, 구체적으로 독립형 구조 프로파일을 보호함으로써 내포형 구조 및 독립형 구조의 에칭 다이내믹을 같게 한다. 본 발명자들은 SF6, HBr, CF4, CHF3, CH2F2 등을 비롯한 기타 가스 및 그 조합을 시도하였지만 용인할 수 있는 결과를 얻지 못하였다.
이상 본 발명의 소정의 실시형태에 대해서만 상세하게 설명하였지만, 당업자들이라면 본 발명의 신규한 기술사상 및 장점으로부터 실질적으로 벗어나는 일 없이 이들 실시형태에 대한 변형예가 많을 수 있다는 것을 쉽게 이해할 것이다. 따라서, 그러한 모든 변형예는 본 발명의 범위 내에 포함되는 것이다.
1a, 1b, lc, 1c', 1d, 1e, 1f : 플라즈마 처리 시스템
10: 플라즈마 처리 챔버 20 : 기판 홀더
25 : 피처리 기판 26 : 후면 가스 공급 시스템
28 : 클램핑 시스템 30, 72, 82 : RF 발생기
32, 74, 84 : 임피던스 매칭 네트워크 40 : 가스 분배 시스템
45 : 처리 영역 50 : 진공 펌핑 시스템
55 : 컨트롤러 60 : 자계 시스템
70 : 상부 전극 80 : 유도성 코일
90 : DC 전원

Claims (20)

  1. 기판 상에 반사 방지 코팅(ARC : Anti-Reflective Coating)층을 건식 현상하는 방법에 있어서,
    다층 마스크를 포함하는 기판을 플라즈마 처리 시스템 내에 배치하는 단계로서, 상기 다층 마스크는 실리콘 함유 ARC층을 덮는 리소그래피층을 포함하고, 상기 리소그래피층은 리소그래피 프로세스를 이용하여 내부에 형성된 내포형 구조(nested structure)와 독립형 구조(isolated structure)를 갖는 특징부 패턴을 포함하는 것인 기판 배치 단계와,
    상기 특징부 패턴을 상기 실리콘 함유 ARC층에 전사하기 위한 프로세스 레시피를 확립하는 단계와,
    상기 프로세스 레시피에 대해, 상기 내포형 구조에 대한 제1 임계 치수(CD : Critical Dimension)와 제2 CD 간의 타깃 내포형 CD 바이어스와, 상기 독립형 구조에 대한 제1 CD와 제2 CD 간의 타깃 독립형 CD 바이어스와, 상기 내포형 구조에 대한 상기 제2 CD와 상기 독립형 구조에 대한 상기 제2 CD 간의 타깃 독립형-내포형 CD 바이어스를 포함하는 하나 이상의 성능 계측값을 확립하는 단계와,
    상기 프로세스 레시피에 따라, SF6와 탄화수소 가스를 함유한 프로세스 가스를 상기 플라즈마 처리 시스템에 도입하는 단계와,
    상기 하나 이상의 성능 계측값 중 하나 이상을 달성하기 위하여 상기 프로세스 레시피에서 상기 SF6의 유량에 대한 상기 탄화수소 가스의 유량을 조절하는 단계와,
    상기 프로세스 레시피에 따라 상기 플라즈마 처리 시스템에 상기 프로세스 가스로부터 플라즈마를 형성하는 단계와,
    상기 리소그래피층 내의 상기 특징부 패턴을 밑에 있는 상기 실리콘 함유 ARC층에 전사하기 위하여 상기 기판을 상기 플라즈마에 노출시키는 단계
    를 포함하는 건식 현상 방법.
  2. 제1항에 있어서, 상기 하나 이상의 성능 계측값은 상기 내포형 구조에 대한 최대 거칠기와 상기 독립형 구조에 대한 최대 거칠기를 더 포함하는 것인 건식 현상 방법.
  3. 제1항에 있어서, 상기 하나 이상의 성능 계측값은 상기 내포형 구조에 대한 CD 균일성과 상기 독립형 구조에 대한 CD 균일성을 더 포함하는 것인 건식 현상 방법.
  4. 제1항에 있어서, 상기 타깃 독립형-내포형 CD 바이어스와 실제 독립형-내포형 CD 바이어스 간의 차이는 3 나노미터(㎚) 미만인 것인 건식 현상 방법.
  5. 제1항에 있어서, 상기 프로세스 가스는 희가스(noble gas)를 더 포함하는 것인 건식 현상 방법.
  6. 제1항에 있어서, 상기 프로세스 가스는 CF4, C3F6, C4F6, C4F8, C5F8, CHF3, CH2F2, 또는 이들 중 2가지 이상의 조합을 더 포함하는 것인 건식 현상 방법.
  7. 제1항에 있어서, 상기 탄화수소 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 및 C6H12로 구성된 그룹 중에서 선택되는 것인 건식 현상 방법.
  8. 제1항에 있어서, 상기 프로세스 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, 및 C6H12로 구성된 그룹 중에서 선택된 탄화수소 가스와 SF6로 이루어지는 것인 건식 현상 방법.
  9. 제1항에 있어서, 상기 프로세스 가스는 SF6와 C2H4로 이루어지는 것인 건식 현상 방법.
  10. 제1항에 있어서, 상기 SF6의 유량은 150 sccm∼250 sccm의 범위 내에 있고, 상기 탄화수소 가스의 유량은 20 sccm∼40 sccm의 범위 내에 있는 것인 건식 현상 방법.
  11. 제1항에 있어서, 상기 탄화수소 가스의 유량과 상기 SF6의 유량과의 비는 0.1∼0.2의 범위 내에 있는 것인 건식 현상 방법.
  12. 제1항에 있어서, 상기 프로세스 레시피는,
    상기 플라즈마 처리 시스템 내의 압력 설정과,
    상기 기판을 지지하기 위한 기판 홀더 내에서 하부 전극에 인가되는 제1 고주파(RF) 신호에 대한 제1 전력 레벨 설정과,
    상기 기판 위에서 상기 하부 전극과 대향하는 상부 전극에 인가되는 제2 RF 신호에 대한 제2 전력 레벨 설정
    을 더 포함하는 것인 건식 현상 방법.
  13. 제12항에 있어서,
    상기 압력 설정은 압력을 50 mTorr 이하로 설정하는 것을 포함하고,
    상기 제1 전력 레벨 설정은 제1 전력 레벨을 100 W 미만으로 설정하는 것을 포함하며,
    상기 제2 전력 레벨 설정은 제2 전력 레벨을 100 W∼300 W로 설정하는 것을 포함하는 것인 건식 현상 방법.
  14. 제1항에 있어서,
    상기 실리콘 함유 ARC층과 상기 기판 사이에 유기 유전체층(ODL : Organic Dielectric Layer)을 형성하는 단계와,
    건식 에칭 프로세스를 이용하여, 상기 실리콘 함유 ARC층 내의 상기 특징부 패턴을 상기 ODL에 전사하는 단계와,
    상기 ODL과 상기 기판 사이에 유전체층을 형성하는 단계와,
    건식 에칭 프로세스를 이용하여, 상기 ODL 내의 상기 특징부 패턴을 상기 유전체층에 전사하는 단계
    를 더 포함하는 건식 현상 방법.
  15. 제14항에 있어서, 상기 유전체층은 실리콘 질화물을 포함하는 것인 건식 현상 방법.
  16. 제14항에 있어서, 상기 특징부 패턴은 STI(Shallow Trench Isolation) 구조에 대한 트렌치 패턴을 포함하는 것인 건식 현상 방법.
  17. 기판 상에 반사 방지 코팅(ARC)층을 패턴 에칭하는 방법에 있어서,
    SF6과 탄화수소 가스를 함유한 프로세스 가스로부터 형성된 플라즈마를 이용하여, 실리콘 함유 ARC층에 특징부 패턴을 에칭하는 단계와,
    상기 특징부 패턴의 내포형 구조에 대한 최종 CD와 상기 특징부 패턴의 독립형 구조에 대한 최종 CD 간의 CD 바이어스를 줄이기 위하여 상기 SF6의 유량에 대한 상기 탄화수소 가스의 유량을 조절하는 단계
    를 포함하는 패턴 에칭 방법.
  18. 제17항에 있어서,
    상기 특징부 패턴을 상기 실리콘 함유 ARC층에 전사하기 위한 제로 트림 에칭 프로세스 레시피를 확립하는 단계
    를 더 포함하는 패턴 에칭 방법.
  19. 제17항에 있어서, 상기 프로세스 가스는 SF6과 C2H4로 이루어진 것인 패턴 에칭 방법.
  20. 기판 상에 반사 방지 코팅(ARC)층을 패턴 에칭하는 방법에 있어서,
    Si 함유 ARC층을 포함하는 기판을 플라즈마 처리 시스템 내에 배치하는 단계와,
    SF6와, 구조식 CxHy의 탄화수소 가스와, 선택적인 희가스로 이루어진 프로세스 가스를 상기 플라즈마 처리 시스템에 도입하는 단계와,
    상기 프로세스 가스로부터 플라즈마를 형성하는 단계와,
    상기 기판을 상기 플라즈마에 노출시키는 단계
    를 포함하는 패턴 에칭 방법.
KR1020100072010A 2009-08-17 2010-07-26 Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법 KR101713330B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/542,113 2009-08-17
US12/542,113 US8236700B2 (en) 2009-08-17 2009-08-17 Method for patterning an ARC layer using SF6 and a hydrocarbon gas

Publications (2)

Publication Number Publication Date
KR20110018266A true KR20110018266A (ko) 2011-02-23
KR101713330B1 KR101713330B1 (ko) 2017-03-07

Family

ID=43588831

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100072010A KR101713330B1 (ko) 2009-08-17 2010-07-26 Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법

Country Status (3)

Country Link
US (1) US8236700B2 (ko)
JP (1) JP5577530B2 (ko)
KR (1) KR101713330B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130102505A (ko) * 2012-03-07 2013-09-17 도쿄엘렉트론가부시키가이샤 배선 패터닝을 위한 하드 마스크 제거 중의 측벽 및 챔퍼 보호
KR20140031224A (ko) * 2011-03-22 2014-03-12 도쿄엘렉트론가부시키가이샤 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스
KR20170140078A (ko) * 2016-06-10 2017-12-20 도쿄엘렉트론가부시키가이샤 에칭 처리 방법

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8071485B2 (en) * 2009-06-29 2011-12-06 Globalfoundries Inc. Method of semiconductor manufacturing for small features
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US8968588B2 (en) 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
JP2013222852A (ja) * 2012-04-17 2013-10-28 Tokyo Electron Ltd 有機膜をエッチングする方法及びプラズマエッチング装置
JP6140412B2 (ja) * 2012-09-21 2017-05-31 東京エレクトロン株式会社 ガス供給方法及びプラズマ処理装置
US8945408B2 (en) 2013-06-14 2015-02-03 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity
US9153457B2 (en) 2013-06-14 2015-10-06 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity using direct current positioning
US8940641B1 (en) * 2013-09-05 2015-01-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved patterning schemes
KR102265271B1 (ko) 2015-01-14 2021-06-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
DE102017213330A1 (de) * 2017-08-02 2019-02-07 Dr. Johannes Heidenhain Gmbh Abtastplatte für eine optische Positionsmesseinrichtung
US11355342B2 (en) 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6589879B2 (en) * 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
KR20030089346A (ko) * 2002-05-17 2003-11-21 홍순용 인조석 및 그 제작방법
KR20060123312A (ko) * 2003-11-12 2006-12-01 램 리써치 코포레이션 트렌치 식각에 대한 라인 에지 러프니스 감소
KR20090084766A (ko) * 2008-02-01 2009-08-05 도쿄엘렉트론가부시키가이샤 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
US6699795B1 (en) 2002-03-15 2004-03-02 Cypress Semiconductor Corp. Gate etch process
US6921723B1 (en) 2002-04-23 2005-07-26 Applied Materials, Inc. Etching method having high silicon-to-photoresist selectivity
US6818553B1 (en) 2002-05-15 2004-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process for high-k gate dielectrics
JP3672900B2 (ja) * 2002-09-11 2005-07-20 松下電器産業株式会社 パターン形成方法
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US20040192059A1 (en) 2003-03-28 2004-09-30 Mosel Vitelic, Inc. Method for etching a titanium-containing layer prior to etching an aluminum layer in a metal stack
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7172969B2 (en) * 2004-08-26 2007-02-06 Tokyo Electron Limited Method and system for etching a film stack
US20090047791A1 (en) * 2007-08-16 2009-02-19 International Business Machines Corporation Semiconductor etching methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6589879B2 (en) * 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
KR20030089346A (ko) * 2002-05-17 2003-11-21 홍순용 인조석 및 그 제작방법
KR20060123312A (ko) * 2003-11-12 2006-12-01 램 리써치 코포레이션 트렌치 식각에 대한 라인 에지 러프니스 감소
KR20090084766A (ko) * 2008-02-01 2009-08-05 도쿄엘렉트론가부시키가이샤 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140031224A (ko) * 2011-03-22 2014-03-12 도쿄엘렉트론가부시키가이샤 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스
KR20130102505A (ko) * 2012-03-07 2013-09-17 도쿄엘렉트론가부시키가이샤 배선 패터닝을 위한 하드 마스크 제거 중의 측벽 및 챔퍼 보호
KR20170140078A (ko) * 2016-06-10 2017-12-20 도쿄엘렉트론가부시키가이샤 에칭 처리 방법

Also Published As

Publication number Publication date
KR101713330B1 (ko) 2017-03-07
US8236700B2 (en) 2012-08-07
JP2011040757A (ja) 2011-02-24
JP5577530B2 (ja) 2014-08-27
US20110039416A1 (en) 2011-02-17

Similar Documents

Publication Publication Date Title
KR101713330B1 (ko) Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
US7888267B2 (en) Method for etching silicon-containing ARC layer with reduced CD bias
US8809196B2 (en) Method of etching a thin film using pressure modulation
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US9607843B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
US9576816B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US7858270B2 (en) Method for etching using a multi-layer mask
US7637269B1 (en) Low damage method for ashing a substrate using CO2/CO-based process
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
US7935640B2 (en) Method for forming a damascene structure
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
US7622390B2 (en) Method for treating a dielectric film to reduce damage

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right