KR20090033124A - 반도체장치의 리세스게이트 제조 방법 - Google Patents

반도체장치의 리세스게이트 제조 방법 Download PDF

Info

Publication number
KR20090033124A
KR20090033124A KR1020080094722A KR20080094722A KR20090033124A KR 20090033124 A KR20090033124 A KR 20090033124A KR 1020080094722 A KR1020080094722 A KR 1020080094722A KR 20080094722 A KR20080094722 A KR 20080094722A KR 20090033124 A KR20090033124 A KR 20090033124A
Authority
KR
South Korea
Prior art keywords
etching
recess
forming
region
semiconductor device
Prior art date
Application number
KR1020080094722A
Other languages
English (en)
Other versions
KR101070292B1 (ko
Inventor
조용태
김은미
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Publication of KR20090033124A publication Critical patent/KR20090033124A/ko
Application granted granted Critical
Publication of KR101070292B1 publication Critical patent/KR101070292B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out

Abstract

본 발명은 리세스 게이트 공정 중 리세스영역의 식각 공정에서 높은 첨점이 발생하는 것을 억제할 수 있는 반도체 장치의 리세스게이트 제조방법을 제공하기 위한 것으로, 본 발명은 실리콘기판을 식각하여 활성영역을 정의하는 트렌치를 형성하는 단계; 상기 트렌치를 갭필하는 소자분리막을 형성하는 단계; 상기 활성영역의 채널예정영역을 오픈시키며 산화막과 비정질카본막이 적층된 하드마스크막을 형성하는 단계; 및 상기 하드마스크막을 식각장벽으로 상기 채널예정영역을 1차 및 2차 식각(상기 2차 식각은 상기 비정질카본막을 제거한 후에 진행)의 순서로 식각하여 듀얼 프로파일을 갖는 리세스영역을 형성하는 단계를 포함하고, 상술한 본 발명은 STI 공정시 트렌치의 각도를 90˚이하로 형성하는 경우에도 리세스영역의 첨점을 최소화하는 것이 가능하여 게이트절연막 특성 열화 및 이로 인한 스트레스 집중을 방지할 수 있는 효과가 있다.
리세스 게이트, 리세스영역, 듀얼 프로파일, 비정질카본막

Description

반도체장치의 리세스게이트 제조 방법{METHOD OF FABRICATING RECESS GATE IN SEMICONDUCTOR DEVICE}
본 발명은 반도체 제조 기술에 관한 것으로, 특히 반도체장치의 리세스게이트 제조 방법에 관한 것이다.
반도체 장치 제조에 있어 플라나 게이트(Planar Gate) 형성 방법은 게이트를 평탄한 활성영역 위에 형성하는 방법이다. 그러나, 패턴 크기의 축소화에 의해 채널 길이가 감소하고 기판의 이온주입 도핑(implant doping) 농도가 증가함에 따라 전계(electric field) 증가에 기인한 접합 누설(junction leakage)에 의해 소자의 리프레쉬(Refresh) 특성을 확보하기가 어렵다.
이를 개선하기 위한 게이트 형성 방법으로서, 활성영역 식각 후 게이트를 형성하는 3-차원 리세스 게이트(Recess gate) 공정이 새로운 대안으로 제시되고 있다. 리세스 게이트 공정을 적용하면 채널 길이 증가 및 이온주입 도핑 농도 감소가 가능하여 소자의 리프레쉬 특성을 크게 개선할 수 있다.
도 1a 내지 도 1c는 종래기술에 따른 반도체장치의 리세스 게이트 제조 방법을 도시한 도면이다. 이하, 우측도면은 좌측도면의 Ⅰ-Ⅰ'선에 따른 단면도이다.
도 1a에 도시된 바와 같이, 실리콘 기판(11)의 소자분리영역을 식각하여 트렌치(12)를 형성하고, 트렌치(12)에 소자분리막(13)을 형성한다. 이러한 공정을 STI(Silicon Trench Isolation) 공정이라 한다.
이어서, 비정질카본막(14)을 형성한 후, 비정질카본막(14) 상에 리세스영역 형성용 마스크 공정을 진행하여 포토레지스트 패턴(15)을 형성한다.
이어서, 포토레지스트패턴(15)을 식각장벽으로 하여 비정질카본막(14)을 식각한다.
도 1b에 도시된 바와 같이, 비정질카본막(14)을 식각장벽으로 하여 실리콘기판(11)을 일정 깊이 한번에 식각한다. 이에 따라 트랜지스터의 채널 역할을 하는 리세스영역(Recess region, 16)이 형성된다. 리세스영역(16)은 리세스채널(Recess channel)이라고도 일컫는다.
도 1c에 도시된 바와 같이, 리세스영역(16)이 형성된 실리콘기판(11) 상에 게이트절연막(17)을 형성한다. 이어서, 리세스영역(16)을 매립할 때까지 게이트절연막(17) 상에 도전막을 증착한 후 식각하여 게이트전극(18)을 형성한다.
그러나, 반도체 소자의 초미세 패턴화가 진행되는 과정에서 3-차원 리세스 게이트의 리세스영역을 형성할 수 있는 크기의 감소로 인하여 플라즈마 식각에서 리세스영역(16)의 바닥 프로파일(Bottom profile)은 V자형 프로파일을 이루게 되고, 이에 따라 소자분리막(13)과 인접하는 지역에서는 첨점(Horn, 도면부호 'H' 참 조)이라고 하는 실리콘잔류물(Si residue)이 높게 잔류하는 현상(Horn high effect)이 발생하는 문제가 있다. 이와 같은 실리콘잔류물은 비정질카본막의 카본이 재증착(Redeposition)된 폴리머(Polymer)에 의해 발생한다.
도 2는 종래기술에 따른 높은 첨점을 도시한 SEM 사진으로서, 소자분리막과 인접하는 지역에서 첨점이 높게 잔류함을 알 수 있다.
높은 첨점(H)은 후속 게이트절연막(17)의 특성 열화를 가져오며, 이로 인하여 스트레스 집중 포인트가 되어 누설전류 소스로 작용하여 소자 제조시 수율을 저하시키는 등의 문제로 DRAM 생산에 어려움을 겪게 된다.
이와 같은 높은 첨점(H)의 원인은 소자분리막(13)이 갭필되는 트렌치(12)의 측벽 각도를 90˚이하로 형성할 때(도 3 참조), 리세스영역(16)의 프로파일이 V자형을 이루게 됨으로써 발생한다.
도 3은 종래기술에 따른 소자분리막이 갭필되는 트렌치의 측벽각도를 보여주는 SEM 사진으로서, 트렌치가 90˚이하의 각도를 갖고 형성됨을 알 수 있다.
본 발명은 상기와 같은 종래기술의 문제점을 해결하기 위하여 제안된 것으로, 리세스 게이트 공정 중 리세스영역의 식각 공정에서 높은 첨점이 발생하는 것을 억제할 수 있는 반도체 장치의 리세스게이트 제조방법을 제공하는데 그 목적이 있다.
또한, 본 발명의 다른 목적은 리세스채널의 선폭을 감소시키면서도 채널길이를 더욱 증가시킬 수 있는 반도체장치의 리세스채널 형성 방법을 제공하는데 있다.
상기 목적을 달성하기 위한 본 발명의 반도체장치의 리세스 게이트 제조 방법은 실리콘기판을 식각하여 활성영역을 정의하는 트렌치를 형성하는 단계; 상기 트렌치를 갭필하는 소자분리막을 형성하는 단계; 상기 활성영역의 채널예정영역을 오픈시키며 산화막과 비정질카본막이 적층된 하드마스크막을 형성하는 단계; 및 상기 하드마스크막을 식각장벽으로 상기 채널예정영역을 1차 및 2차 식각의 순서로 식각하여 듀얼 프로파일을 갖는 리세스영역을 형성하는 단계를 포함하고, 상기 2차 식각은 상기 비정질카본막을 제거한 후에 진행하는 것을 특징으로 한다. 바람직하게, 상기 2차 식각 후에, 상기 리세스영역의 측면을 더 확장하기 위한 3차 식각을 인시튜로 수행하는 단계를 더 포함하는 것을 특징으로 한다. 바람직하게, 상기 리세스영역을 형성하는 단계는 상기 비정질카본막을 식각장벽으로 상기 1차 식각을 진행하여 제1리세스영역을 형성하는 단계; 상기 비정질카본막을 제거하는 단계; 및 상기 산화막을 식각장벽으로 상기 2차 식각을 진행하여 상기 제1리세스영역보다 더 넓은 제2리세스영역을 형성하는 단계를 포함하는 것을 특징으로 한다.
그리고, 본 발명의 반도체장치의 리세스채널 형성 방법은 반도체기판의 채널예정영역을 오픈시키며 보호막과 비정질카본막이 적층된 하드마스크막을 형성하는 단계; 상기 비정질카본막을 식각장벽으로 상기 채널예정영역을 1차 식각하여 제1리세스영역을 형성하는 단계; 상기 비정질카본막을 제거하는 단계; 및 상기 보호막을 식각장벽으로 상기 제1리세스영역의 저면을 2차 식각하여 제2리세스영역을 형성하는 단계를 포함하는 것을 특징으로 한다.
상술한 본 발명은 STI 공정시 트렌치의 각도를 90˚이하로 형성하는 경우에도 리세스영역의 첨점을 최소화하는 것이 가능하여 게이트절연막 특성 열화 및 이로 인한 스트레스 집중을 방지할 수 있는 효과가 있다.
또한, 본 발명은 듀얼 프로파일을 갖는 리세스영역을 형성하므로써 채널길이를 더욱 증가시킬 수 있는 효과가 있다.
또한, 본 발명은 첨점의 감소와 함께 패싱게이트(Passing Gate)가 형성되는 지역의 소자분리막 손실(Field Oxide Loss)을 최소화할 수 있으므로 반도체장치의 특성을 향상시킬 수 있는 효과가 있다.
이하, 본 발명이 속한 기술분야에서 통상의 지식을 가진 자가 본 발명을 보다 용이하게 실시할 수 있도록 하기 위하여 본 발명의 바람직한 실시예를 소개하기로 한다.
본 발명의 실시예에서는 리세스영역(또는 리세스채널)을 형성하기 위한 리세스식각 공정시 보호막(산화막)과 비정질카본막이 적층된 하드마스크막을 식각장벽으로 이용한다. 이때, 리세스식각공정은 비정질카본막을 식각장벽으로 이용한 1차 리세스식각과 보호막을 식각장벽으로 이용한 2차 리세스식각으로 구분한다. 1차 리세스식각과 2차 리레스식각은 식각가스, 압력, 소스파워 및 바이어스파워를 동일하게 하여 진행하되, 2차 리세스식각 전에 비정질카본막을 미리 제거해준다. 이와 같이 비정질카본막이 없는 상태에서 2차 리세스식각을 진행하면 1차 리세스식각보다 폴리머 발생량을 줄여 더 넓어진 리세스영역을 형성할 수 있고, 소자분리막과 인접하는 지역에서 높은 첨점이 발생되는 것을 방지한다.
도 4a 내지 도 4f는 본 발명의 실시예에 따른 반도체장치의 리세스 게이트 제조 방법을 도시한 공정 단면도이다. 이하, 우측도면은 좌측도면의 Ⅱ-Ⅱ'선에 따른 단면도로서, 좌측도면은 리세스게이트와 교차하는 방향의 도면이고, 우측도면은 리세스게이트 방향의 도면이다.
도 4a에 도시된 바와 같이, STI(Shallow Trench Isolation) 공정을 이용하여 실리콘기판(21)에 트렌치(22)에 매립되는 형태의 소자분리막(23)을 형성한다. 트렌치(22)에 의해 활성영역이 정의되며, 트렌치의 각도는 90° 이하가 될 수도 있다.
이어서, 실리콘기판(21) 상부에 하드마스크막(24)을 형성한다. 여기서, 하드마스크막(24)은 산화막(Oxide) 또는 비정질카본막(Amorphous carbon)을 포함할 수 있다. 바람직하게, 하드마스크막(24)은 산화막(24A)과 비정질카본막(24B)을 적층하여 형성할 수 있다. 산화막(24A)은 실리콘기판(21)의 표면을 보호하기 위한 보호막 역할도 한다.
이어서, 하드마스크막(24) 상에 반사방지막(ARC, 25)을 형성한 후 리세스영역 형성용 마스크 공정을 진행하여 포토레지스트패턴(26)을 형성한다. 여기서, 반사방지막(25)은 유기반사방지막(Organic Bottom Anti Reflective Coating layer; OBARC)을 사용할 수 있다.
도 4b에 도시된 바와 같이, 포토레지스트패턴을 식각장벽으로 하여 반사방지막(25)과 하드마스크막(24)을 식각한다. 이와 같은 일련의 식각 공정은 CCP(Capacitively Coupled Plasma 또는 MERIE(Magnetically Enhanced Reactive Ion Etching) 타입의 플라즈마 소스를 이용하여 진행한다. 반사방지막(25)과 비정질카본막(24B)은 N2와 O2가 혼합된 가스의 플라즈마를 이용하되, 소스 파워와 바이어스 파워를 동시에 인가하면서 식각한다. 비정질카본막(24B)의 식각은 산화막(24A)을 식각정지막으로 하여 진행한다. 다음으로, 산화막(24A)은 CFx(예, CF4) 또는 CHFx(예, CHF3) 중에서 선택된 어느 하나의 가스와 O2가 혼합된 가스의 플라즈마를 이용하여 식각한다.
도 4c에 도시된 바와 같이, 포토레지스트패턴과 반사방지막을 제거한다. 이 어서, 비정질카본막(24B)을 식각장벽으로 하여 실리콘기판(21)을 일정 깊이 식각하는 1차 리세스식각을 진행한다. 이러한 1차 리세스식각에 의해 제1리세스영역(27)이 형성된다. 1차 리세스식각은 TCP(Transformer Coupled Plasma) 또는ICP(Inductively Coupled Plasma)를 플라즈마 소스로 이용하고, 염소(Chlorine)계 가스와 브롬(Bromine)계 가스를 혼합하여 진행한다. 예컨대, 1차 리세스식각은 HBr 대 Cl2의 유량비율을 약 5:1로 하고, 5∼20 mtorr의 압력, 500∼1500W의 소스 파워 및 100∼300V의 바이어스 파워를 인가하여 진행함이 바람직하다.
상술한 1차 리세스식각에 의해 제1리세스영역(27)은 수직 프로파일(Vertical profile)을 가지며, 그 깊이는 200∼500Å 정도가 되도록 한다. 다른 실시예에서, 1차 리세스식각은 비정질카본막의 식각이 이루어진 챔버에서 인시튜로 진행할 수 있다.
도 4d에 도시된 바와 같이, 1차 리세스식각이 이루어진 식각장비에서 인-시츄(In-Situ)로 비정질카본막(24B)을 제거하는데, 이때는 200∼1000sccm 정도의 다량의 산소플라즈마(O2 plasma)만으로 바이어스 파워 인가 없이 소스 파워만을 인가하면 된다.
도 4e에 도시된 바와 같이, 남아있는 산화막(24A)을 식각장벽으로 하여 제1리세스영역의 저면을 식각하여 제2리세스영역(28)을 형성하는 2차 리세스식각을 진행한다. 이때, 2차 리세스식각은 1차 리세스식각 및 비정질카본막을 제거한 식각장비에서 인시튜로 진행한다. 예컨대, 2차 리세스식각은 TCP 또는 ICP 타입의 플라즈 마 소스 하에서 염소계 가스와 브롬계 가스를 혼합하여 진행할 수 있다. 예를 들어, 2차 리세스식각은 10~30mtorr의 압력, 500∼1500W의 소스 파워 및 100∼300V의 바이어스 파워를 인가함이 바람직하다. 특히, 브롬계 가스로 HBr을 사용하고 염소계 가스로 Cl2를 사용하는 경우에, HBr 대 Cl2의 유량 비율은 5:1의 범위를 가짐이 바람직하다. 상기와 같은 식각 조건하에서 제1리세스영역의 저면을 식각하여 형성되는 제2리세스영역(28)은 그 측면이 안쪽으로 넓어지는 프로파일을 갖는다. 바람직하게, 제2리세스영역(28)은 제1리세스영역(27)보다 더 깊게 형성하는데, 예컨대 700~1000Å의 깊이로 형성한다.
상술한 바에 따르면, 제1리세스영역(27)과 제2리세스영역(28)은 상부와 하부의 프로파일이 서로 다른 듀얼(dual) 프로파일을 갖는 리세스영역(100)을 구성한다.
제1리세스영역(27)과 제2리세스영역(28)의 프로파일이 다른 이유는 다음과 같다.
제1리세스영역(27)은 비정질카본막(24B)을 식각장벽으로 하여 진행하기 때문에, 비정질카본막(24B)의 탄소에 의한 폴리머가 다량 발생하고, 이렇게 발생된 폴리머가 재증착되어 식각 프로파일이 수직프로파일을 갖게 된다.
이에 반해, 제2리세스영역(28)은 비정질카본막(24B)을 제거한 후에 식각을 진행하기 때문에 탄소에 의한 폴리머가 상대적으로 적게 발생하고, 이로써 탄소 폴리머에 의한 식각방해가 없으므로 제1리세스영역(27)보다 더 넓어지는 효과를 얻을 수 있다.
이처럼, 제2리세스영역(28)이 넓어진다는 것은 그만큼 식각이 더 된다는 것이므로, 소자분리막(23)에 인접한 지역에서 첨점의 발생을 억제할 수 있고, 첨점이 발생하더라도 그 높이를 현저하게 감소시킬 수 있다.
듀얼 프로파일을 갖는 리세스영역(100)은 종래 기술과 비교하여 리세스영역(100) 하부의 폭이 약 수십nm 정도 넓은 프로파일(Widening profile)을 갖는다. 따라서, 종래기술과는 다르게 첨점(Horn)이 최소화되는 리세스영역을 형성하는 것이 가능하다. 도 4e를 다시 참조하면, 도면부호 'P1'은 종래기술에 따른 프로파일이고, 'P2'는 본 발명의 실시예에 따른 프로파일을 도시한 것으로서, 종래기술보다 첨점의 높이가 현저히 낮아진 프로파일을 얻을 수 있음을 알 수 있다.
넓어지는 제2리세스영역(28)을 형성하기 위한 2차 리세스식각조건은 압력, 파워 및 가스 비율이 매우 중요하다. 바람직하게, 2차 리세스식각은 10~30mtorr의 압력, 500∼1500W의 소스 파워 및 100∼300V의 바이어스 파워를 인가하면 가능하다.
다른 실시예에서, 제2리세스영역(28)을 형성한 후에, 추가적으로 제2리세스영역(28)의 폭을 좀더 넓히기 위해 3차 리세스식각을 진행할 수 있다. 이때, 3차 리세스식각은 인시튜로 진행한다. 예컨대, 3차 리세스식각은 TCP 또는 ICP를 플라즈마 소스로 이용하고 HBr/Cl2의 혼합 가스에 SF6/O2의 혼합 가스를 소량 첨가한 혼합가스를 사용하여 수행될 수 있고, 식각 조건으로 20~100 mtorr의 압력, 500~1500W의 소스 파워 및 50W 이하의 바이어스 파워를 인가함이 바람직하다. 위와 같이, 3차 리세스식각은 염소계 가스와 불소계 가스를 혼합한 혼합가스에 불소계 가스와 산소가스를 소량 첨가한 혼합가스를 이용하여 진행한다. 불소계 가스는 SF6 가스와 같은 불화황 가스외에 불화질소(NFX) 또는 불화탄소(CFX) 가스를 사용할 수도 있다. 불화질소 가스로는 NF3 가스를 사용할 수 있고, 불화탄소 가스로는 CF4 가스를 사용할 수 있다. 전술한 불소계 가스와 산소가스는 등방성 식각을 유도하는 가스이며, 이에 따라 3차 리세스식각에 의해 제2리세스영역의 폭을 더 넓힐 수 있다.
상기와 같은 식각 조건하에서 3차 리세스식각을 진행하면 등방성 식각 특성을 갖도록 식각함으로써 제2리세스영역(28)의 측면이, 예를 들어 10~15nm 정도 더 넓혀질 수 있다. 이러한 3차 리세스식각 공정을 추가적으로 수행하면, 첨점의 높이를 더욱 감소시킬 수 있다.
전술한 본 발명의 실시예에 따른 1차 식각, 2차 식각은 TCP 또는 ICP를 플라즈마 소스로 이용하는 고밀도 식각 장비에서 수행되지만, 다른 일실시예가 존재할 수도 있다. 예를 들어, 1차 식각, 2차 식각은 패러데이 실드(Faraday Shield)가 장착된 ICP 타입의 식각 장비에서 수행될 수 있다. 또한, MDS(Microwave Down Stream), ECR(Electron Cyclotron Resonance), 헬리칼(Helical) 중 어느 하나를 플라즈마 소스로 이용하는 식각 장비에서 수행될 수도 있다.
도 4f에 도시된 바와 같이, 산화막(24A)을 제거한 후에, 리세스영역(100)이 형성된 실리콘기판(21) 상에 게이트절연막(29)을 형성한다. 이어서, 리세스영역(100)을 매립할 때까지 게이트절연막(29) 상에 도전막을 증착한 후 식각하여 게 이트전극(30)을 형성한다. 이와 같이 게이트전극(30) 아래의 리세스영역(100)은 트랜지스터의 리세스채널이 된다.
도 5는 본 발명의 실시예에 따른 리세스영역의 프로파일 및 첨점을 나타낸 SEM 사진이다.
도 5를 참조하면, 종래 기술과 비교할 때 첨점의 높이가 현저히 감소함을 알 수 있다. 그리고 리세스영역(100)이 뾰족한 모양의 프로파일 대신 듀얼 프로파일을 가짐을 알 수 있다. 이에 따라 소자분리막이 매립된 트렌치의 각도가 90°이하가 되는 경우에도 첨점의 크기를 최소화할 수 있다. 그리고, 본 발명은 2차 리세스식각에 의해 더 넓어진 제2리세스영역을 형성하므로써 제1리세스영역의 선폭을 더 좁게 형성하여도 채널길이 증가효과를 얻을 수 있다. 이처럼, 제1리세스영역의 선폭을 감소시키면 후속하는 게이트전극과의 오정렬을 방지할 수 있다. 참고로, 종래기술에서는 리세스영역의 선폭을 39nm로 형성하였으나, 본 발명을 적용하면 31nm까지 선폭을 좁게 형성할 수 있다.
결국, 첨점이 최소화되면 누설 전류를 억제하여 소자의 리프레시 특성 향상이 가능하기 때문에 소자의 제조시에 수율 향상, 비용 감소 등이 가능하다.
첨점의 높이를 낮추기 위한 최적화된 식각조건은 DOE(Design Of Experiment)에 의해 얻을 수 있다.
상술한 실시예에 따르면, STI 공정시 트렌치의 각도를 90˚이하로 형성하는 경우에도 리세스영역의 첨점을 최소화하는 것이 가능하다.
또한, 듀얼 프로파일을 갖는 리세스영역을 형성하므로써 채널길이를 더욱 증가시킬 수 있다.
또한, 첨점의 감소와 함께 패싱게이트(Passing Gate)가 형성되는 지역의 소자분리막 손실(Field Oxide Loss)을 최소화할 수 있다. 여기서, 패싱게이트란 활성영역의 끝단에 인접한 소자분리막의 상부를 가로지르는 게이트전극을 의미하는 것으로서, 2차 식각이 산화막질인 소자분리막에 대해 높은 선택비를 가지므로 소자분리막의 손실을 방지할 수 있다.
본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
도 1a 내지 도 1c는 종래기술에 따른 반도체장치의 리세스 게이트 제조 방법을 도시한 도면이다.
도 2는 종래기술에 따른 높은 첨점을 도시한 SEM 사진.
도 3은 종래기술에 따른 소자분리막이 갭필되는 트렌치의 측벽각도를 보여주는 SEM 사진.
도 4a 내지 도 4f는 본 발명의 실시예에 따른 반도체장치의 리세스 게이트 제조 방법을 도시한 공정 단면도.
도 5는 본 발명의 실시예에 따른 리세스영역의 프로파일 및 첨점을 나타낸 SEM 사진.
* 도면의 주요 부분에 대한 부호의 설명
21 : 실리콘기판 22 : 트렌치
23 : 소자분리막 24A : 산화막
24B : 비정질카본막 27 : 제1리세스영역
28 : 제2리세스영역

Claims (19)

  1. 실리콘기판을 식각하여 활성영역을 정의하는 트렌치를 형성하는 단계;
    상기 트렌치를 갭필하는 소자분리막을 형성하는 단계;
    상기 활성영역의 채널예정영역을 오픈시키며 산화막과 비정질카본막이 적층된 하드마스크막을 형성하는 단계; 및
    상기 하드마스크막을 식각장벽으로 상기 채널예정영역을 1차 및 2차 식각의 순서로 식각하여 듀얼 프로파일을 갖는 리세스영역을 형성하는 단계를 포함하고,
    상기 2차 식각은 상기 비정질카본막을 제거한 후에 진행하는 반도체장치의 리세스게이트 제조 방법.
  2. 제1항에 있어서,
    상기 2차 식각 후에, 상기 리세스영역의 측면을 더 확장하기 위한 3차 식각을 인시튜로 수행하는 단계
    를 더 포함하는 반도체 장치의 리세스게이트 제조 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 리세스영역을 형성하는 단계는,
    상기 비정질카본막을 식각장벽으로 상기 1차 식각을 진행하여 제1리세스영역을 형성하는 단계;
    상기 비정질카본막을 제거하는 단계; 및
    상기 산화막을 식각장벽으로 상기 2차 식각을 진행하여 상기 제1리세스영역보다 더 넓은 제2리세스영역을 형성하는 단계
    를 포함하는 반도체장치의 리세스게이트 제조 방법.
  4. 제3항에 있어서,
    상기 1차 식각, 상기 비정질카본막의 제거단계 및 2차 식각은 플라즈마 식각 장비에서 인시튜(Insitu)로 진행하는 반도체장치의 리세스게이트 제조 방법.
  5. 제4항에 있어서,
    상기 1차 식각과 2차 식각은,
    염소(Chlorine)계 가스와 브롬(Bromine)계 가스를 혼합하여 진행하는 반도체의 리세스게이트 장치 제조 방법.
  6. 제5항에 있어서,
    상기 1차 식각 및 2차 식각은,
    HBr 대 Cl2의 유량비율을 5:1로 하고, 5∼20 mtorr의 압력, 500∼1500W의 소스 파워 및 100∼300V의 바이어스 파워를 인가하여 진행하는 반도체장치의 리세스게이트 제조 방법.
  7. 제4항에 있어서,
    상기 비정질카본막을 제거하는 단계는,
    200~1000sccm의 유량을 갖는 O2 플라즈마를 사용하되, 바이어스파워 인가없이 소스 파워를 인가하는 진행하는 반도체 장치의 리세스게이트 제조 방법.
  8. 제2항에 있어서,
    상기 3차 식각은,
    염소(Chlorine)계 가스와 브롬(Bromine)계 가스를 혼합한 혼합가스에 불소계 가스와 산소의 혼합가스를 첨가하여 진행하는 반도체 장치의 리세스게이트 제조 방법.
  9. 제8항에 있어서,
    상기 불소계 가스는 불화황 가스, 불화질소 가스 또는 불화탄소 가스 중에 선택된 어느 하나를 포함하는 반도체장치의 리세스게이트 제조 방법.
  10. 제8항에 있어서,
    상기 3차 식각은,
    20~100 mtorr의 압력, 500~1500W의 소스 파워 및 적어도 50W 이하의 바이어스 파워를 인가하여 진행하는 반도체 장치의 리세스게이트 제조 방법.
  11. 제8항에 있어서,
    상기 브롬계 가스는 HBr이고 상기 염소계 가스는 Cl2인 반도체 장치의 리세스게이트 제조 방법.
  12. 제4항에 있어서,
    상기 플라즈마식각장비는,
    MERIE, TCP, ICP, MDS, ECR 또는 헬리칼(Helical) 중에서 선택된 어느 하나 를 플라즈마 소스로 사용하는 반도체 장치의 리세스게이트 제조 방법.
  13. 반도체기판의 채널예정영역을 오픈시키며 보호막과 비정질카본막이 적층된 하드마스크막을 형성하는 단계;
    상기 비정질카본막을 식각장벽으로 상기 채널예정영역을 1차 식각하여 제1리세스영역을 형성하는 단계;
    상기 비정질카본막을 제거하는 단계; 및
    상기 보호막을 식각장벽으로 상기 제1리세스영역의 저면을 2차 식각하여 제2리세스영역을 형성하는 단계
    를 포함하는 반도체장치의 리세스채널 형성 방법.
  14. 제13항에 있어서,
    상기 1차 식각, 상기 비정질카본막의 제거단계 및 2차 식각은 플라즈마 식각 장비에서 인시튜(Insitu)로 진행하는 반도체장치의 리세스채널 형성 방법.
  15. 제14항에 있어서,
    상기 1차 식각 및 2차 식각은,
    식각가스, 압력, 소스파워 및 바이어스파워를 동일하게 하여 진행하는 반도체장치의 리세스채널 형성 방법.
  16. 제14항에 있어서,
    상기 비정질카본막을 제거하는 단계는,
    O2 플라즈마를 사용하되, 바이어스파워 인가없이 소스 파워를 인가하는 진행하는 반도체 장치의 리세스채널 형성 방법.
  17. 제14항에 있어서,
    상기 플라즈마식각장비는,
    MERIE, TCP, ICP, MDS, ECR 또는 헬리칼(Helical) 중에서 선택된 어느 하나를 플라즈마 소스로 사용하는 반도체 장치의 리세스채널 형성 방법.
  18. 제13항에 있어서,
    상기 반도체기판은 실리콘기판을 포함하고, 상기 보호막은 산화막을 포함하는 반도체장치의 리세스채널 형성 방법.
  19. 제13항 내지 제18항 중 어느 한 항에 있어서,
    상기 2차 식각 후에, 상기 제2리세스영역의 측면을 더 확장하기 위한 3차 식각을 인시튜로 수행하는 단계
    를 더 포함하는 반도체 장치의 리세스채널 형성 방법.
KR1020080094722A 2007-09-28 2008-09-26 반도체장치의 리세스게이트 제조 방법 KR101070292B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020070098221 2007-09-28
KR20070098221 2007-09-28

Publications (2)

Publication Number Publication Date
KR20090033124A true KR20090033124A (ko) 2009-04-01
KR101070292B1 KR101070292B1 (ko) 2011-10-06

Family

ID=40508847

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080094722A KR101070292B1 (ko) 2007-09-28 2008-09-26 반도체장치의 리세스게이트 제조 방법

Country Status (5)

Country Link
US (1) US7838361B2 (ko)
JP (1) JP2009088522A (ko)
KR (1) KR101070292B1 (ko)
CN (1) CN101399194B (ko)
TW (1) TWI425578B (ko)

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8975137B2 (en) * 2011-07-11 2015-03-10 Nanya Technology Corporation Process of forming slit in substrate
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN102496576A (zh) * 2011-12-28 2012-06-13 上海先进半导体制造股份有限公司 沟槽功率器件的制作方法
JP6054046B2 (ja) * 2012-03-19 2016-12-27 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及びその製造方法
KR20130107628A (ko) 2012-03-22 2013-10-02 삼성디스플레이 주식회사 트렌치 형성 방법, 금속 배선 형성 방법, 및 박막 트랜지스터 표시판의 제조 방법
US8872260B2 (en) * 2012-06-05 2014-10-28 Macronix International Co., Ltd. Semiconductor device formation
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) * 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102329531B1 (ko) * 2016-03-28 2021-11-23 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10453738B2 (en) * 2017-12-22 2019-10-22 Texas Instruments Incorporated Selective etches for reducing cone formation in shallow trench isolations
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113594031A (zh) * 2021-07-29 2021-11-02 上海华力微电子有限公司 半导体器件的制备方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003092349A (ja) * 2001-09-18 2003-03-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20060113590A1 (en) * 2004-11-26 2006-06-01 Samsung Electronics Co., Ltd. Method of forming a recess structure, recessed channel type transistor and method of manufacturing the recessed channel type transistor
JP2006237356A (ja) * 2005-02-25 2006-09-07 Toshiba Corp 半導体装置の製造方法
JP4982962B2 (ja) * 2005-04-14 2012-07-25 富士電機株式会社 半導体装置の製造方法
KR100744068B1 (ko) * 2005-04-29 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
KR20060118072A (ko) 2005-05-16 2006-11-23 삼성전자주식회사 리세스형 트랜지스터 및 그 제조방법
KR100732767B1 (ko) * 2005-12-29 2007-06-27 주식회사 하이닉스반도체 반도체 소자의 리세스 채널용 트렌치 형성방법
JP2007194333A (ja) * 2006-01-18 2007-08-02 Elpida Memory Inc 半導体装置の製造方法
KR100753083B1 (ko) * 2006-04-28 2007-08-31 주식회사 하이닉스반도체 반도체소자의 리세스채널 형성 방법
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
KR100818654B1 (ko) * 2006-12-01 2008-04-01 주식회사 하이닉스반도체 벌브형 리세스 게이트를 갖는 반도체 소자 및 그 제조 방법
KR100780658B1 (ko) * 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20080160742A1 (en) * 2006-12-27 2008-07-03 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate

Also Published As

Publication number Publication date
CN101399194B (zh) 2010-12-22
US7838361B2 (en) 2010-11-23
JP2009088522A (ja) 2009-04-23
TWI425578B (zh) 2014-02-01
TW200915439A (en) 2009-04-01
CN101399194A (zh) 2009-04-01
US20090087960A1 (en) 2009-04-02
KR101070292B1 (ko) 2011-10-06

Similar Documents

Publication Publication Date Title
KR101070292B1 (ko) 반도체장치의 리세스게이트 제조 방법
US8487399B2 (en) Semiconductor device and method of fabricating the same
KR100744068B1 (ko) 반도체 소자의 트랜지스터 제조 방법
US20060138474A1 (en) Recess gate and method for fabricating semiconductor device with the same
KR100954116B1 (ko) 반도체 소자의 리세스패턴 형성방법
KR100812603B1 (ko) 후처리에 의한 반도체소자의 콘택 형성 방법
US7858476B2 (en) Method for fabricating semiconductor device with recess gate
KR100792405B1 (ko) 벌브형 리세스 패턴의 제조 방법
US20080102624A1 (en) Method of fabricating semiconductor device with recess gate
CN105789129A (zh) 改善栅极侧墙形貌的方法及半导体器件制造方法
KR100792365B1 (ko) 반도체 소자의 리세스 게이트 제조 방법
KR100780629B1 (ko) 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080160742A1 (en) Method for fabricating semiconductor device with recess gate
KR100799133B1 (ko) 반도체소자의 리세스게이트 제조 방법
KR20070003136A (ko) 리세스게이트를 구비한 반도체소자 및 그의 제조 방법
KR100849188B1 (ko) 리세스 게이트를 갖는 반도체 소자의 제조 방법
KR100825028B1 (ko) 리세스 게이트를 갖는 반도체 소자 제조방법
KR20060113261A (ko) 리세스게이트공정을 이용한 반도체장치의 제조 방법
KR20080001883A (ko) 반도체 소자의 콘택홀 제조방법
KR20110022267A (ko) 반도체 장치 제조방법
KR100864628B1 (ko) 반도체 소자의 소자분리막 제조방법
KR100920043B1 (ko) 반도체 소자의 리세스 게이트 및 그의 형성방법
KR100771542B1 (ko) 반도체 소자의 트렌치 소자분리막 형성방법
KR20060074983A (ko) 리세스채널을 이용한 반도체소자의 제조 방법
KR20080000367A (ko) 반도체 소자의 리세스 게이트 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140822

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150824

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160822

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170824

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee