TWI425578B - 製造半導體元件之凹陷閘極之方法 - Google Patents

製造半導體元件之凹陷閘極之方法 Download PDF

Info

Publication number
TWI425578B
TWI425578B TW097137008A TW97137008A TWI425578B TW I425578 B TWI425578 B TW I425578B TW 097137008 A TW097137008 A TW 097137008A TW 97137008 A TW97137008 A TW 97137008A TW I425578 B TWI425578 B TW I425578B
Authority
TW
Taiwan
Prior art keywords
etching
region
layer
amorphous carbon
recessed
Prior art date
Application number
TW097137008A
Other languages
English (en)
Other versions
TW200915439A (en
Inventor
Yong-Tae Cho
Eun-Mi Kim
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of TW200915439A publication Critical patent/TW200915439A/zh
Application granted granted Critical
Publication of TWI425578B publication Critical patent/TWI425578B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out

Description

製造半導體元件之凹陷閘極之方法
本發明主張於2007年9月28日申請之韓國專利申請案號2007-0098221之優先權,將其全文以參考的方式併入本文。
本發明關於半導體元件製造,尤其是關於一種製造半導體元件之凹陷閘極之方法。
在半導體元件之製造中,使用形成平面閘極之方法來於平面主動區上形成閘極。然而,因圖案尺寸縮減而造成的通道長度減少及因基板之離子佈植掺雜濃度增加而造成的電場增加,因而造成接面漏電流(junction leakage)。這會使其難以獲得元件之更新特性。
為了解決上述限制,已提出一種三維凹陷閘極製程作為替代的形成閘極的方法,其在蝕刻主動區後形成閘極。凹陷閘極製程使其可以增加通道長度並減少離子佈植掺雜濃度,因而改善元件之更新特性。
第1A至1C圖說明根據先前技術的製造半導體元件之凹陷閘極之方法。在此,每一圖式的右邊部分為沿著I-I’線之剖面圖。
參照第1A圖,蝕刻矽基板11之元件隔離區而形成溝槽12,及將元件隔離層13形成於溝槽12中。此製程稱為矽溝槽隔離(STI)製程。
將非晶質碳層14形成在結果結構(resulting structure) 上並在非晶質碳層14上實施用以形成凹陷區之遮罩製程(mask process),藉以形成光阻圖案15。
使用光阻圖案15作為蝕刻阻障而蝕刻非晶質碳層14。
參照第1B圖,使用非晶質碳層14作為蝕刻阻障,蝕刻矽基板11而形成作為電晶體通道之凹陷區16。凹陷區16亦稱為凹陷通道。
參照第1C圖,在含有凹陷區16之矽基板11上形成閘極絕緣層17。將導電層沉積於閘極絕緣層17上直到填滿凹陷區16,及蝕刻沉積的導電層而形成閘極18。
然而,於半導體元件之超微細圖案化製程期間,因能形成三維凹陷閘極之凹陷區的尺寸減少,而在電漿蝕刻製程中使凹陷區16的底部輪廓形成V型輪廓。此造成牛角效應(horn effect),其中矽(Si)殘渣(參照如牛角H)位於與元件隔離層13相鄰之上區中。矽殘渣係由聚合物所產生,其中聚合物則由非晶質碳層之碳的再沉積所製得。
第2圖說明顯示根據先前技術之牛角的掃瞄式電子顯微鏡(SEM)照片。能由第2圖觀察到牛角位於與元件隔離層相鄰之上區中。
牛角H造成閘極絕緣層17劣化。牛角H係應力集中點並成為漏電流的來源,因而降低元件生產良率及使得DRAM難以製造。
參照第3圖,牛角H係在以約90°以下之角度形成溝槽12側壁時所形成的凹陷區16之V型輪廓所造成,其中溝 槽12係以元件隔離層13予以間隙填充。
第3圖說明顯示根據先前技術之以元件隔離層予以間隙填充的溝槽之側壁角度之SEM照片。能由第3圖觀察到溝槽係以約90°以下之角度形成。
本發明實施例係針對提供一種製造半導體元件之凹陷閘極之方法,其能在形成凹陷閘極製程期間抑制凹陷區之蝕刻製程中牛角的產生。
本發明實施例亦針對提供一種形成半導體元件之凹陷通道之方法,其能進一步增加通道長度同時減少凹陷通道的線寬。
根據本發明之一態樣,提供一種製造半導體元件之凹陷閘極之方法。該方法包括:蝕刻矽基板而形成界定主動區之溝槽;形成間隙填充溝槽之元件隔離層;於矽基板上形成硬遮罩層,硬遮罩層包含氧化層及非晶質碳層之堆疊,其中硬遮罩層暴露主動區之通道目標區;及藉由使用硬遮罩層作為蝕刻阻障而將通道目標區進行第一蝕刻及第二蝕刻以形成具有雙重輪廓之凹陷區,其中在移除非晶質碳層後實施第二蝕刻。
根據本發明之另一態樣,提供一種形成半導體元件之凹陷通道之方法。該方法包括:於半導體基板上形成硬遮罩層,硬遮罩層包括鈍化層(passivation layer)及非晶質碳層之堆疊,其中硬遮罩層暴露半導體基板之通道目標區; 使用非晶質碳層作為蝕刻阻障而蝕刻通道目標區以形成第一凹陷區;移除非晶質碳層;及使用鈍化層作為蝕刻阻障而蝕刻第一凹陷區底部以形成第二凹陷區。
根據本發明之另一態樣,提供一種製造半導體元件之凹陷閘極之方法。該方法包括:蝕刻矽基板而形成界定主動區之溝槽;於溝槽中形成元件隔離層;於矽基板上形成硬遮罩層,硬遮罩層包含氧化層及非晶質碳層,其中硬遮罩層暴露主動區之通道目標區;使用非晶質碳層作為蝕刻阻障而將通道目標區實施第一蝕刻以形成第一凹陷區;移除非晶質碳層;使用氧化層作為蝕刻阻障而將第一凹陷區底部實施第二蝕刻以形成第二凹陷區,其中第二凹陷區比第一凹陷區寬。
根據本發明之另一態樣,提供一種形成半導體元件之凹陷通道之方法。該方法包括:於半導體基板上形成硬遮罩層,硬遮罩層包括鈍化層及非晶質碳層,其中硬遮罩層暴露半導體基板之通道目標區;使用非晶質碳層作為蝕刻阻障而蝕刻通道目標區以形成第一凹陷區;移除非晶質碳層;及使用鈍化層作為蝕刻阻障而蝕刻第一凹陷區底部以形成第二凹陷區,其中第二凹陷區比第一凹陷區寬。
以下,將參照隨附圖式詳細敘述根據本發明之製造半導體元件之凹陷閘極之方法。
請注意本文中當指示如層、薄膜、圖案及區域之元件為 於(在)另一元件上/於(在)另一元件下時,其可能為直接於(在)另一元件上/於(在)另一元件下,及可能存在一個以上介於其間之元件。
本發明實施例中,在用以形成凹陷區或凹陷通道之凹陷蝕刻製程中使用具有鈍化層(例如氧化層)及非晶質碳層之堆疊的硬遮罩層作為蝕刻阻障。凹陷蝕刻製程包括使用非晶質碳層作為蝕刻阻障之第一凹陷蝕刻製程及使用鈍化層作為蝕刻阻障之第二凹陷蝕刻製程。使用相同的蝕刻氣體、相同的壓力、相同的來源功率(source power)及相同的偏壓功率(bias power)來實施第一凹陷蝕刻製程及第二凹陷蝕刻製程,且在實施第二凹陷蝕刻製程前預先移除非晶質碳層。換言之,係於非晶質碳層不存在的情況下實施第二凹陷蝕刻製程。在此情況下,第二凹陷蝕刻製程中所產生之聚合物量小於第一凹陷蝕刻製程中所產生者。因此,可形成較寬的凹陷區並可防止在與元件隔離層相鄰的區域中產生牛角。
第4A至4F圖說明根據本發明實施例的製造半導體元件之凹陷閘極之方法。在此,每一圖式的右邊部分為沿著Ⅱ-Ⅱ’線之剖面圖。
參照第4A圖,使用淺溝槽隔離(STI)製程來形成元件隔離層23而填充矽基板21之溝槽22。由溝槽22界定主動區,及可以約90°以下的角度形成溝槽22。
於矽基板21上形成硬遮罩層24。硬遮罩層24可包含氧 化層或非晶質碳層。較佳為硬遮罩層24可含有氧化層24A及非晶質碳層24B之堆疊。氧化層24A亦作為保護矽基板21表面的鈍化層。
於硬遮罩層24上形成抗反射塗布(ARC)層25,並在結果結構上實施用於形成凹陷區之遮罩製程,以形成光阻圖案26。ARC層25可為有機底部抗反射塗布(OBARC)層。
參照第4B圖,使用光阻圖案26作為蝕刻阻障來蝕刻ARC層25及硬遮罩層24。可使用如電容式偶合電漿(CCP)型或磁場強化反應性離子蝕刻(MERIE)型之電漿源來實施這些蝕刻製程。使用含有N2 氣體及O2 氣體之混合物,以及同時施加來源功率及偏壓功率來蝕刻ARC層25及非晶質碳層24B。使用氧化層24A作為蝕刻中止層來蝕刻非晶質碳層24B。之後,使用含有O2 氣體、及CFX (例如CF4 )氣體與CHFX (例如CHF3 )氣體之一的混合物來蝕刻氧化層24A。
參照第4C圖,移除光阻圖案26及殘留的ARC層25’。使用殘留的非晶質碳層24B’作為蝕刻阻障,實施第一凹陷蝕刻製程而將矽基板21蝕刻至預定深度。藉由第一凹陷蝕刻製程形成第一凹陷區27。使用變壓式偶合電漿(TCP)或感應偶合電漿(ICP)作為電漿源及使用氯系氣體及溴系氣體之混合物實施第一凹陷蝕刻製程。例如,較佳為使用HBr:Cl2 之流量比約為5:1,施加約5 mtorr至約20 mtorr之壓力、約500 W至約1500 W之來源功率及約100 V至約 300 V之偏壓功率來實施第一凹陷蝕刻製程。
藉由上述的第一凹陷蝕刻製程,第一凹陷區27具有垂直輪廓及具有約200至約500之深度。於另一實施例中,可在用於蝕刻非晶質碳層24B之腔中原位實施第一凹陷蝕刻製程。
參照第4D圖,在用於實施第一凹陷蝕刻製程之蝕刻設備中原位移除殘留的非晶質碳層24B’。為此目的,使用約200 sccm至約1000 sccm之O2 電漿及施加來源功率而不施加偏壓功率。
參照第4E圖,使用殘留的氧化層24A’作為蝕刻阻障,實施第二凹陷蝕刻製程來蝕刻第一凹陷區27底部,藉以形成第二凹陷區28。在此,在用於實施第一凹陷蝕刻製程及移除殘留的非晶質碳層24B’之蝕刻設備中原位實施第二凹陷蝕刻製程。例如,可在使用氯系氣體及溴系氣體之混合物的TCP或ICP型電漿源之條件下實施第二凹陷蝕刻製程。例如,較佳為藉由施加約10 mtorr至約30 mtorr之壓力、約500 W至約1500 W之來源功率及約100 V至約300 V之偏壓功率來實施第二凹陷蝕刻製程。特別是,若分別使用HBr及Cl2 作為溴系氣體及氯系氣體,則較佳地HBr:Cl2 之流量比為約5:1。藉由在上述蝕刻條件下蝕刻第一凹陷區27底部所形成之第二凹陷區28具有隨著第二凹陷區28深度增加而逐漸變寬的輪廓。較佳為將第二凹陷區28形成得比第一凹陷區27深,例如,至約700至約1000之厚 度。
根據上述多個製程,第一凹陷區27及第二凹陷區28構成具有雙重輪廓之凹陷區100,其中雙重輪廓具有不同的頂部及底部輪廓。
第一凹陷區27及第二凹陷區28因下列原因而具有不同輪廓。
因為使用殘留的非晶質碳層24B’作為蝕刻阻障而蝕刻第一凹陷區27,由殘留的非晶質碳層24B’之碳產生大量的聚合物。所產生的聚合物被再沉積使得蝕刻輪廓具有垂直輪廓。
另一方面,在移除殘留的非晶質碳層24B’後形成第二凹陷區28,而由碳產生相對小量的聚合物。如此,因為沒有來自碳聚合物之蝕刻障礙,所以第二凹陷區28比第一凹陷區27寬。
即,第二凹陷區28被蝕刻多於第一凹陷區27。如此,能抑制牛角發生於與元件隔離層23相鄰之區域中,且即使產生牛角,其高度能被明顯地降低。
具有雙重輪廓之凹陷區100具有加寬的輪廓,其中底部寬度大於傳統的凹陷區約數十奈米。因而,不像先前技術,其可形成具有縮小化牛角的凹陷區。參照第4E圖,元件符號P1代表傳統的輪廓而元件符號P2代表根據本發明實施例的輪廓。可由第4E圖觀察到與傳統的輪廓相比,根據本發明實施例之輪廓具有相當低的牛角。
在用於形成加寬的第二凹陷區28之第二凹陷蝕刻條件之中,壓力、功率及氣體比率非常重要。較佳為藉由施加約10 mtorr至約30 mtorr之壓力、約500 W至約1500 W之來源功率及約100 V至約300 V之偏壓功率來實施第二凹陷蝕刻製程。
在另一實施例中,在形成第二凹陷區28後,可額外地實施第三凹陷蝕刻製程來進一步加寬第二凹陷區28的寬度。第三凹陷蝕刻製程係原位實施。例如,可使用TCP或ICP作為電漿源,及藉由使用HBr/Cl2 氣體與小量的SF6 /O2 氣體之混合物來實施第三凹陷蝕刻製程。較佳為藉由施加約20 mtorr至約100 mtorr之壓力、約500 W至約1500 W之來源功率及約50 W以下之偏壓功率來實施第三凹陷蝕刻製程。使用氯系氣體及氟系氣體之混合物、與小量的氧氣體及氟系氣體之混合物來實施第三凹陷蝕刻製程。氟系氣體可為氟化氮(NFX )氣體或氟化碳(CFX )氣體,以及如SF6 氣體之氟化硫氣體。氟化氮氣體可為NF3 氣體,而氟化碳氣體可為CF4 氣體。使用氟系氣體及氧氣體來引發等向性蝕刻,且因此,能藉由第三凹陷蝕刻製程進一步加寬第二凹陷區28。
當在上述蝕刻條件下實施第三凹陷蝕刻製程時,能進一步將第二凹陷區28加寬例如約10 nm至約15 nm。當實施第三凹陷蝕刻製程,能進一步減少牛角高度。
利用使用TCP或ICP作為電漿源之高密度蝕刻設備來實 施根據上述實施例之第一凹陷蝕刻製程及第二凹陷蝕刻製程。在另一實施例中,可在安裝有法拉第屏蔽之ICP型蝕刻設備中實施第一凹陷蝕刻製程及第二凹陷蝕刻製程。同樣地,可在使用微波下降流(MDS)、電子迴旋共振(ECR)及螺旋型(Helical)之一作為電漿源的蝕刻設備中實施第一凹陷蝕刻製程及第二凹陷蝕刻製程。
參照第4F圖,移除殘留的氧化層24A’及在含有凹陷區100的矽基板21上形成閘極絕緣層29。之後,將導電層沉積於閘極絕緣層29上直到凹陷區100被填滿,及蝕刻結果結構而形成閘極30。因此,在閘極下之凹陷區100成為電晶體的凹陷通道。
第5圖說明顯示根據本發明實施例之牛角及凹陷區輪廓的SEM照片。
參照第5圖,能觀察到相較於傳統的牛角,根據本發明之牛角係相當低。同樣地,能觀察到凹陷區100具有雙重輪廓而非尖頭輪廓(pointed profile)。因此,即使當以約90°以下之角度形成填有元件隔離層之溝槽時,亦能減少牛角的尺寸。因為藉由第二凹陷蝕刻製程加寬第二凹陷區,所以即使當將第一凹陷區形成為具有較小線寬時,本發明亦能增加通道長度。當縮減第一凹陷區之線寬時,能防止與閘極之未對準(misalignment with a gate electrode)。提供參考,當先前技術將凹陷區線寬形成為約39 nm時,本發明能將凹陷區線寬縮減至為約31 nm。
結果,將牛角極小化,抑制漏電流,而改善元件之更新特性。因此,能改善元件製造良率及減少製造成本。
能藉由實驗設計(DOE)來達成用以減少牛角高度之最適化蝕刻條件。
根據上述實施例,即使當在STI製程中以約90°以下之角度形成溝槽時,亦可將凹陷區的牛角減到最少。
同樣地,可藉由形成具有雙重輪廓之凹陷區而進一步增加通道長度。
亦可將通過閘極(passing gate)區域的場氧化物損失(field oxide loss)減到最少同時減少牛角。通過閘極係與相鄰於主動區端部的元件隔離層頂部交叉之閘極。因為相對於由氧化層所形成的元件隔離層,第二蝕刻具有高選擇性,所以能防止場氧化物損失。
如上述,即使當在STI製程中以約90°以下之角度形成溝槽時,本發明亦能將凹陷區的牛角減到最少。因此,本發明能防止閘極絕緣層之特性劣化及由於閘極絕緣層之特性劣化所引起之應力集中。
本發明實施例能藉由形成具有雙重輪廓之凹陷區而進一步增加通道長度。
本發明實施例亦能將通過閘極區域的場氧化物損失減到最少同時減少牛角。因此,本發明實施例能改善半導體元件特性。
雖然藉由參照特定實施例描述本發明,但對本領域之具 有通常知識者而言,在不悖離下述申請專利範圍所界定的本發明之精神及範圍的情況下,可輕易進行各種變更及替代。
11、21‧‧‧矽基板
12、22‧‧‧溝槽
13、23‧‧‧元件隔離層
14、24B‧‧‧非晶質碳層
15、26‧‧‧光阻圖案
16‧‧‧凹陷區
17、29‧‧‧閘極絕緣層
18、30‧‧‧閘極
24‧‧‧硬遮罩層
24A‧‧‧氧化層
24A’‧‧‧殘留的氧化層
24B’‧‧‧殘留的非晶質碳層
25‧‧‧抗反射塗布(ARC)層
25’‧‧‧殘留的ARC層
27‧‧‧第一凹陷區
28‧‧‧第二凹陷區
100‧‧‧凹陷區
H‧‧‧牛角
P1‧‧‧傳統的輪廓
P2‧‧‧根據本發明實施例的輪廓
第1A至1C圖說明根據先前技術的製造半導體元件之凹陷閘極之方法。
第2圖說明顯示根據先前技術之牛角的SEM照片。
第3圖說明顯示根據先前技術之以元件隔離層予以間隙填充的溝槽之側壁角度之SEM照片。
第4A至4F圖說明根據本發明實施例的製造半導體元件之凹陷閘極之方法。
第5圖說明顯示根據本發明實施例之牛角及凹陷區輪廓的SEM照片。
21‧‧‧矽基板
22‧‧‧溝槽
23‧‧‧元件隔離層
24A’‧‧‧殘留的氧化層
27‧‧‧第一凹陷區
28‧‧‧第二凹陷區
100‧‧‧凹陷區
P1‧‧‧傳統的輪廓
P2‧‧‧根據本發明實施例的輪廓

Claims (23)

  1. 一種製造半導體元件之凹陷閘極之方法,該方法包括:蝕刻矽基板而形成界定主動區之溝槽;形成間隙填充(gap-fill)該溝槽之元件隔離層;於該矽基板上形成硬遮罩層,該硬遮罩層包含氧化層及非晶質碳層之堆疊,其中該硬遮罩層暴露該主動區之通道目標區;及藉由使用該硬遮罩層作為蝕刻阻障而將該通道目標區進行第一蝕刻及第二蝕刻以形成具有雙重輪廓之凹陷區,其中在移除該非晶質碳層後實施該第二蝕刻。
  2. 如申請專利範圍第1項之方法,其中進一步包括在該第二蝕刻後,實施原位第三蝕刻以增加該凹陷區之寬度。
  3. 如申請專利範圍第1項之方法,其中形成該凹陷區包括:使用該非晶質碳層作為蝕刻阻障而實施該第一蝕刻以形成第一凹陷區;移除該非晶質碳層;及使用該氧化層作為蝕刻阻障而實施該第二蝕刻以形成第二凹陷區,其中該第二凹陷區比該第一凹陷區寬。
  4. 如申請專利範圍第3項之方法,其中在電漿蝕刻設備中原位實施該第一蝕刻、該非晶質碳層之移除、及該第二蝕刻。
  5. 如申請專利範圍第4項之方法,其中使用氯系氣體及溴 系氣體之混合物實施該第一蝕刻及該第二蝕刻。
  6. 如申請專利範圍第5項之方法,其中以HBr:Cl2 為約5:1之流量比、施加約5 mtorr至約20 mtorr之壓力、約500 W至約1500 W之來源功率(source power)、及約100 V至約300 V之偏壓功率(bias power)來實施該第一蝕刻及該第二蝕刻。
  7. 如申請專利範圍第4項之方法,其中使用流量為約200 sccm至約1000 sccm之O2 電漿及藉由施加來源功率而不施加偏壓功率來移除該非晶質碳層。
  8. 如申請專利範圍第2項之方法,其中藉由將氟系氣體及氧氣體之混合物添加至氯系氣體及溴系氣體之混合物中來實施該第三蝕刻。
  9. 如申請專利範圍第8項之方法,其中該氟系氣體包括氟化硫氣體、氟化氮氣體、及氟化碳氣體之一者。
  10. 如申請專利範圍第8項之方法,其中藉由施加約20 mtorr至約100 mtorr之壓力、約500 W至約1500 W之來源功率、及約50 W以下之偏壓功率來實施該第三蝕刻。
  11. 如申請專利範圍第8項之方法,其中該溴系氣體為HBr及該氯系氣體為Cl2
  12. 如申請專利範圍第4項之方法,其中該電漿蝕刻設備使用磁場強化反應性離子蝕刻(MERIE)、變壓式偶合電漿(TCP)、感應偶合電漿(ICP)、微波下降流(MDS)、電子迴旋共振(ECR)、及螺旋型(Helical)之一者作 為電漿源。
  13. 一種形成半導體元件之凹陷通道之方法,該方法包括:於半導體基板上形成硬遮罩層,該硬遮罩層包括鈍化層(passivation layer)及非晶質碳層之堆疊,其中該硬遮罩層暴露該半導體基板之通道目標區;使用該非晶質碳層作為蝕刻阻障而蝕刻該通道目標區以形成第一凹陷區;移除該非晶質碳層;及使用該鈍化層作為蝕刻阻障而蝕刻該第一凹陷區底部以形成第二凹陷區。
  14. 如申請專利範圍第13項之方法,其中在電漿蝕刻設備中原位實施該蝕刻通道目標區、該移除非晶質碳層、及該蝕刻第一凹陷區底部。
  15. 如申請專利範圍第14項之方法,其中使用相同的蝕刻氣體、相同的壓力、相同的來源功率、及相同的偏壓功率來實施該蝕刻通道目標區及該蝕刻第一凹陷區底部。
  16. 如申請專利範圍第14項之方法,其中使用O2 電漿及藉由施加來源功率而不施加偏壓功率來移除該非晶質碳層。
  17. 如申請專利範圍第14項之方法,其中該電漿蝕刻設備使用磁場強化反應性離子蝕刻(MERIE)、變壓式偶合電漿(TCP)、感應偶合電漿(ICP)、微波下降流(MDS)、電子迴旋共振(ECR)、及螺旋型(Helical)之一者作 為電漿源。
  18. 如申請專利範圍第13項之方法,其中該半導體基板包括矽基板及該鈍化層包括氧化層。
  19. 如申請專利範圍第13項之方法,其中進一步包括在蝕刻該第一凹陷區底部後,實施原位蝕刻以增加該第二凹陷區之寬度。
  20. 一種製造半導體元件之凹陷閘極之方法,該方法包括:蝕刻矽基板而形成界定主動區之溝槽;於該溝槽中形成元件隔離層;於該矽基板上形成硬遮罩層,該硬遮罩層包含氧化層及非晶質碳層,其中該硬遮罩層暴露該主動區之通道目標區;使用該非晶質碳層作為蝕刻阻障而將該通道目標區進行第一蝕刻以形成第一凹陷區;移除該非晶質碳層;使用氧化層作為蝕刻阻障而將第一凹陷區底部進行第二蝕刻以形成第二凹陷區,其中該第二凹陷區比該第一凹陷區寬。
  21. 如申請專利範圍第20項之方法,其中進一步包括將該凹陷區實施第三蝕刻以加寬該第二凹陷區。
  22. 一種形成半導體元件之凹陷通道之方法,該方法包括:於半導體基板上形成硬遮罩層,該硬遮罩層包括鈍化層及非晶質碳層,其中該硬遮罩層暴露該半導體基板之 通道目標區;使用該非晶質碳層作為蝕刻阻障而蝕刻該通道目標區以形成第一凹陷區;移除該非晶質碳層;及使用該鈍化層作為蝕刻阻障而蝕刻該第一凹陷區底部以形成第二凹陷區,其中該第二凹陷區比該第一凹陷區寬。
  23. 如申請專利範圍第22項之方法,其中進一步包括蝕刻該第二凹陷區之側邊以增加該第二凹陷區之寬度。
TW097137008A 2007-09-28 2008-09-26 製造半導體元件之凹陷閘極之方法 TWI425578B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR20070098221 2007-09-28

Publications (2)

Publication Number Publication Date
TW200915439A TW200915439A (en) 2009-04-01
TWI425578B true TWI425578B (zh) 2014-02-01

Family

ID=40508847

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097137008A TWI425578B (zh) 2007-09-28 2008-09-26 製造半導體元件之凹陷閘極之方法

Country Status (5)

Country Link
US (1) US7838361B2 (zh)
JP (1) JP2009088522A (zh)
KR (1) KR101070292B1 (zh)
CN (1) CN101399194B (zh)
TW (1) TWI425578B (zh)

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8975137B2 (en) * 2011-07-11 2015-03-10 Nanya Technology Corporation Process of forming slit in substrate
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN102496576A (zh) * 2011-12-28 2012-06-13 上海先进半导体制造股份有限公司 沟槽功率器件的制作方法
JP6054046B2 (ja) * 2012-03-19 2016-12-27 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及びその製造方法
KR20130107628A (ko) 2012-03-22 2013-10-02 삼성디스플레이 주식회사 트렌치 형성 방법, 금속 배선 형성 방법, 및 박막 트랜지스터 표시판의 제조 방법
US8872260B2 (en) * 2012-06-05 2014-10-28 Macronix International Co., Ltd. Semiconductor device formation
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) * 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102329531B1 (ko) * 2016-03-28 2021-11-23 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10453738B2 (en) * 2017-12-22 2019-10-22 Texas Instruments Incorporated Selective etches for reducing cone formation in shallow trench isolations
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113594031A (zh) * 2021-07-29 2021-11-02 上海华力微电子有限公司 半导体器件的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030054629A1 (en) * 2001-09-18 2003-03-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20060113590A1 (en) * 2004-11-26 2006-06-01 Samsung Electronics Co., Ltd. Method of forming a recess structure, recessed channel type transistor and method of manufacturing the recessed channel type transistor

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006237356A (ja) * 2005-02-25 2006-09-07 Toshiba Corp 半導体装置の製造方法
JP4982962B2 (ja) * 2005-04-14 2012-07-25 富士電機株式会社 半導体装置の製造方法
KR100744068B1 (ko) * 2005-04-29 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
KR20060118072A (ko) 2005-05-16 2006-11-23 삼성전자주식회사 리세스형 트랜지스터 및 그 제조방법
KR100732767B1 (ko) * 2005-12-29 2007-06-27 주식회사 하이닉스반도체 반도체 소자의 리세스 채널용 트렌치 형성방법
JP2007194333A (ja) * 2006-01-18 2007-08-02 Elpida Memory Inc 半導体装置の製造方法
KR100753083B1 (ko) * 2006-04-28 2007-08-31 주식회사 하이닉스반도체 반도체소자의 리세스채널 형성 방법
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
KR100818654B1 (ko) * 2006-12-01 2008-04-01 주식회사 하이닉스반도체 벌브형 리세스 게이트를 갖는 반도체 소자 및 그 제조 방법
KR100780658B1 (ko) * 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20080160742A1 (en) * 2006-12-27 2008-07-03 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030054629A1 (en) * 2001-09-18 2003-03-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20060113590A1 (en) * 2004-11-26 2006-06-01 Samsung Electronics Co., Ltd. Method of forming a recess structure, recessed channel type transistor and method of manufacturing the recessed channel type transistor

Also Published As

Publication number Publication date
CN101399194B (zh) 2010-12-22
US7838361B2 (en) 2010-11-23
JP2009088522A (ja) 2009-04-23
KR20090033124A (ko) 2009-04-01
TW200915439A (en) 2009-04-01
CN101399194A (zh) 2009-04-01
US20090087960A1 (en) 2009-04-02
KR101070292B1 (ko) 2011-10-06

Similar Documents

Publication Publication Date Title
TWI425578B (zh) 製造半導體元件之凹陷閘極之方法
TWI283042B (en) Method for fabricating transistor of semiconductor device
US20110266648A1 (en) Semiconductor device and method of fabricating the same
KR100954116B1 (ko) 반도체 소자의 리세스패턴 형성방법
CN108206131B (zh) 半导体结构以及半导体结构的形成方法
KR100700332B1 (ko) 플라스크형 리세스 게이트를 갖는 반도체 소자의 제조방법
KR100951559B1 (ko) 반도체 소자의 게이트 전극 형성 방법
KR100816733B1 (ko) 반도체 소자의 리세스 게이트 제조 방법
US7345338B1 (en) Bulb-shaped recess gate of a semiconductor device and method for fabricating the same
US7858476B2 (en) Method for fabricating semiconductor device with recess gate
US20080102624A1 (en) Method of fabricating semiconductor device with recess gate
KR100792405B1 (ko) 벌브형 리세스 패턴의 제조 방법
KR100792365B1 (ko) 반도체 소자의 리세스 게이트 제조 방법
US7575974B2 (en) Method for fabricating semiconductor device including recess gate
US20080160742A1 (en) Method for fabricating semiconductor device with recess gate
KR100849188B1 (ko) 리세스 게이트를 갖는 반도체 소자의 제조 방법
KR100825028B1 (ko) 리세스 게이트를 갖는 반도체 소자 제조방법
CN114156177A (zh) 半导体器件的形成方法
KR100886641B1 (ko) 반도체 소자의 캐패시터 제조방법
KR20110022267A (ko) 반도체 장치 제조방법
KR20060113268A (ko) 리세스게이트를 구비한 반도체장치의 제조 방법
KR20070089498A (ko) 반도체 소자 제조 방법
KR20060075965A (ko) 리세스 게이트를 갖는 반도체장치의 제조 방법
KR20080089031A (ko) 반도체소자의 리세스게이트 제조 방법
KR20080000367A (ko) 반도체 소자의 리세스 게이트 형성방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees