KR20070117579A - 런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템 - Google Patents

런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템 Download PDF

Info

Publication number
KR20070117579A
KR20070117579A KR1020077020516A KR20077020516A KR20070117579A KR 20070117579 A KR20070117579 A KR 20070117579A KR 1020077020516 A KR1020077020516 A KR 1020077020516A KR 20077020516 A KR20077020516 A KR 20077020516A KR 20070117579 A KR20070117579 A KR 20070117579A
Authority
KR
South Korea
Prior art keywords
alarm
data
level
severity
limit
Prior art date
Application number
KR1020077020516A
Other languages
English (en)
Other versions
KR101108856B1 (ko
Inventor
제임스 이 윌리스
메르트 펑크
케빈 랠리
케빈 핀토
마사유키 도모야스
레이몬드 피터슨
라다 순다라라잔
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070117579A publication Critical patent/KR20070117579A/ko
Application granted granted Critical
Publication of KR101108856B1 publication Critical patent/KR101108856B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4184Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by fault tolerance, reliability of production system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31356Automatic fault detection and isolation
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32179Quality control, monitor production tool with multiple sensors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/40Minimising material used in manufacturing processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Factory Administration (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Feedback Control In General (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

본 발명은 APC 시스템에서 FDC를 구현하는 방법에 있어서, 메모리로부터 FDC 모델을 수신하는 단계와; FDC 모델을 프로세스 모델 계산 엔진에 제공하는 단계와; 프로세스 모델 계산 엔진을 이용하여 예측 의존 프로세스 매개변수의 벡터를 연산하는 단계와; 레시피 매개변수를 포함하는 프로세스 레시피를 수신하고 프로세스 레시피를 프로세스 모듈에 제공하는 단계와; 프로세스 레시피를 실행하여 측정 의존 프로세스 매개변수의 벡터를 생성하는 단계와; 예측 의존 프로세스 매개변수의 벡터와 측정 의존 프로세스 매개변수의 벡터 간의 차이를 계산하는 단계와; 상기 차이를 임계값과 비교하는 단계와; 상기 차이가 임계값보다 더 클 때 결함 상태를 선언하는 단계를 포함하는 FDC 구현 방법을 제공한다.

Description

런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템{FAULT DETECTION AND CLASSIFICATION(FDC) USING A RUN-TO-RUN CONTROLLER}
관련 출원의 교차 참조
이 출원은 2004년 10월 13일자 출원한 미국 출원번호 제10/962,596호와 관련이 있으며, 그 전체 내용은 인용에 의해 여기에 통합된다.
발명의 분야
본 발명은 반도체 웨이퍼 처리에 관한 것이다. 더 구체적으로, 본 발명은 런투런 제어기(run-to-run controller)를 이용하여 결함 검출 및 분류(fault detection and classification; FDC)를 수행하는 것과 관련이 있다.
고성능 집적회로의 생산시 반도체 제조 설비(패브)에 의해 사용되는 반도체 처리 장비(SPE) 또는 툴(tool)의 분야에서 고급 프로세스 제어(advanced process control; APC)의 최근 진보는 결함 검출 및 분류(FDC)의 목적으로 사용되는 툴 수준(tool level; TL)에서 하드웨어 및 소프트웨어 감시 기능의 추가를 포함한다. FDC는 툴 동작의 기준선(baseline)을 확립하는 능력을 제공하고, 현재 동작과 기준선을 비교함으로써 결함을 검출할 뿐만 아니라 문제의 근본 원인을 분류 또는 판정한다. FDC용으로 사용되는 기술은 통계적 프로세스 제어(SPC) 챠트, 기본 요소 분 석(PCA) 및 부분 최소 제곱(PLS)법의 사용을 포함한다. 이 기술들은 각각 기준선에 대한 현재 동작의 수치적 비교를 제공한다. 그 다음에, 정상치 또는 상기 비교의 값들 주변에 한계(limits)가 주어질 수 있고, 비교 결과가 수치적 한계들 중 하나 이상을 초과할 때마다 알람이 발생될 수 있다. 알람이 발생되면 프로세스가 정지되거나 다른 동작이 취해질 수 있다.
툴 수준에서 FDC 시스템의 동작은 툴 수준 결함에 기인하는 생산 스크랩(production scrap)을 감소시키고, 진단 능력을 개선하여 툴 정지시간(downtime)을 감소시키며, 부품의 마모를 감시하고 예방적 유지 관리의 계획을 세움으로써 비정규 유지 관리(unscheduled maintenance)의 양을 감소시키는 장점이 있다.
반도체 처리에서 피드포워드 제어기의 사용은 반도체 집적 회로의 제조에서 패브(fab)에 의한 관행으로 오래전에 확립되었다. 고성능 집적 회로의 생산에서 패브에 의해 사용되는 APC의 최근 진보는 런투런(R2R) 제어의 목적으로 사용되는 툴 수준에서 하드웨어 및 소프트웨어의 추가를 포함한다.
그러나, FDC와 R2R 제어기의 동시 동작은 곤란하거나 상호 배타적일 수 있다. 그 이유는 FDC 시스템이 검출된 결함 또는 결함을 방지하기 위한 매개변수 변경에 의해 R2R 제어기를 방해할 수 있기 때문이다. 종래의 R2R 제어기는 FDC 시스템으로부터 수신한 정보를 통합(integrate)할 수 없고 실시간으로 계속하여 동작할 수 없다. 이것은 L2L 처리보다 훨씬 더 많은 데이터를 처리하는 W2W 처리에서 특히 그렇다.
사실, FDC와 R2R 제어를 통합하는 것은 양 처리가 매우 컴퓨터 의존적이기 때문에 불가능한 것으로 일찍이 생각되었다. 따라서, 출원인이 알기로는, FDC 시스템과 R2R 제어기의 통합이 예전에는 전혀 행하여지지 않았다. 본 발명의 발명자들은 이러한 통합이 가능하고 필요한 단계들을 취하며 본 발명을 달성하기 위해 필요한 문제점들을 해결하였음을 알았다.
본 발명의 하나의 태양은 APC 시스템에서 FDC를 구현하는 방법에 있어서, 메모리로부터 FDC 모델을 수신하는 단계와; FDC 모델을 프로세스 모델 계산 엔진에 제공하는 단계와; 프로세스 모델 계산 엔진을 이용하여 예측 의존 프로세스 매개변수의 벡터를 연산하는 단계와; 레시피 매개변수의 집합을 포함하는 프로세스 레시피를 수신하는 단계와; 프로세스 레시피를 프로세스 모듈에 제공하는 단계와; 프로세스 레시피를 실행하여 측정 의존 프로세스 매개변수의 벡터를 생성하는 단계와; 예측 의존 프로세스 매개변수의 벡터와 측정 의존 프로세스 매개변수의 벡터 간의 차이를 계산하는 단계와; 상기 차이를 임계값과 비교하는 단계와; 상기 차이가 임계값보다 더 클 때 결함 조건을 선언하는 단계를 포함하는 FDC 구현 방법을 제공한다.
다른 실시예에서, 반도체 처리 시스템을 운용하는 방법은 프로세스 모듈에 웨이퍼를 배치하는 단계와; 웨이퍼에 대한 프로세스 콘텍스트 정보를 프로세서로 수신하는 단계와; 웨이퍼에 대한 프로세스 콘텍스트 정보를 이용하여 프로세서에서 제어 전략을 실행하는 단계와; 웨이퍼에 대한 프로세스 콘텍스트 정보를 이용하여 프로세서에서 분석 전략을 실행하는 단계와; 적어도 하나의 실행된 전략에 의해 알람이 확립되었을 때 프로세서에서 중재 계획(intervention plan)을 실행하는 단계와; 적어도 하나의 실행된 계획에 의해 알람 조건이 확립되지 않았을 때 프로세스 모듈로부터 웨이퍼를 제거하는 단계를 포함한다.
다른 실시예에서, 웨이퍼를 처리하도록 구성된 프로세싱 툴과; 프로세서를 포함하는 컴퓨터 지향 시스템이 제공되는데, 상기 프로세서는 실행된 프로세스 런으로부터 처리 데이터를 수신하고, 메모리로부터 FDC 모델을 수신하고, FDC 모델을 이용하여 예측 의존 프로세스 매개변수의 벡터를 연산하고, 레시피 매개변수의 집합을 포함하는 프로세스 레시피를 수신하고, 프로세스 레시피를 실행하여 측정 의존 프로세스 매개변수의 벡터를 생성하고, 예측 의존 프로세스 매개변수의 벡터와 측정 의존 프로세스 매개변수의 벡터 간의 차이를 계산하고, 상기 차이를 임계값과 비교하고, 상기 차이가 임계값보다 더 클 때 알람 조건을 선언하도록 구성된다.
본 발명의 각종 실시예의 더 완전한 이해 및 그 부수적인 많은 장점들은 첨부 도면과 함께 이하의 상세한 설명을 읽음으로써 명백하게 될 것이다.
도 1은 본 발명의 실시예에 따른 처리 시스템의 예시적 블록도이다.
도 2는 본 발명의 실시예에 따른 통합 처리 시스템의 간단한 블록도이다.
도 3은 본 발명의 실시예에 따른 결함 관리 프로세스를 위한 간단한 흐름도이다.
도 4는 본 발명의 실시예에 따른 FDC 시스템 및 R2R 제어기의 간단한 흐름도이다.
도 5는 본 발명의 실시예에 따른 반도체 처리 시스템에서 프로세싱 툴의 결함 검출 및 분류(FDC) 처리를 수행하기 위한 간단한 흐름도이다.
도 6은 본 발명의 실시예에 따른 알람 요약 화면의 예시적인 도이다.
도 7은 본 발명의 실시예에 따른 FDC 제어 전략 화면의 예시적인 도이다.
도 8은 본 발명의 실시예에 따른 FDC 제어 계획 편집 화면의 예시적인 도이다.
반도체 처리 기술의 진보는 반도체 처리 장비 툴 수준에서 런투런(R2R) 제어가 제공될 것을 필요로 한다. 프로세스의 제어가 안정되고 강건하게 하기 위해, 반도체 처리 장비 툴 수준에서 결함 검출 및 분류를 또한 제공하여야 한다. 그러나, 간단한 결함 검출 기술은 R2R 제어와 호환되지 않고, 잘못된 알람을 빈번하게 발생할 가능성이 있다. 고성능 반도체 집적 회로의 제조자에게 요구되는 정확하고 신뢰성있는 프로세스 제어를 제공하기 위해 데이터 수집, 데이터 분석, FDC, R2R 제어, 자동화 DOE, SPC 챠팅, PCA 및 PLS 분석을 포함한 고급 프로세스 제어의 통합 시스템을 사용할 수 있다.
도 1은 본 발명의 실시예에 따른 처리 시스템의 예시적인 블록도를 나타낸 것이다. 예시된 실시예에서, 처리 시스템(100)은 프로세싱 툴(110)과, 프로세싱 툴(110)에 결합된 제어기(120)와, 제어기(120)에 결합된 제조 장비 시스템(MES)(130)을 포함한다. 또한, 프로세싱 툴(110), 제어기(120) 및 MES(130) 중의 적어도 하나는 GUI 구성요소 및/또는 데이터베이스 구성요소(도시 생략됨)을 포함 할 수 있다. 다른 실시예에서, GUI 구성요소 및/또는 데이터베이스 구성요소은 필요없을 수 있다.
일부 셋업 및/또는 구성 정보는 프로세싱 툴(110) 및/또는 제어기(120)에 의해 공장(factory) 시스템(130)으로부터 얻어질 수 있다. 공장 수준 업무 규칙(factory level business rule)은 제어 계층을 확립하기 위해 사용될 수 있다. 예를 들면, 프로세싱 툴(110) 및/또는 제어기(120)는 독립적으로 동작할 수도 있고, 또는 어느 정도까지 공장 시스템(130)에 의해 제어될 수도 있다. 또한 공장 수준 업무 규칙은 프로세스가 일시중지(pause) 및/또는 정지(stop)되는 시점과, 프로세스가 일시중지 및/또는 정지된 때 행하여져야 하는 것을 결정하기 위해 사용될 수 있다. 또한, 공장 수준 업무 규칙은 프로세스를 변경할 시점 및 프로세스를 변경하는 방법을 결정하기 위해 사용될 수 있다.
업무 규칙은 정상 처리를 위해 취해지는 행동(action) 및 예외 조건에서 취해지는 행동을 지정하기 위해 사용될 수 있다. 상기 행동으로는 초기 모델 로딩, 에칭 전 계측 데이터 필터링, 제어기 레시피 선택, 에칭 후 계측 데이터 필터링, 피드백 계산 및 모델 업데이트를 포함할 수 있다.
업무 규칙은 제어 전략(control strategy) 수준, 제어 계획(control plan) 수준 또는 제어 모델 수준으로 정의될 수 있다. 업무 규칙은 특수 콘텍스트를 만날 때마다 실행하도록 지정될 수 있다. 더 높은 수준 및 더 낮은 수준의 정합 콘텍스트가 만날 때는 더 높은 수준과 관련된 업무 규칙이 실행될 수 있다. GUI 화면은 업무 규칙을 정의하고 유지하기 위해 사용될 수 있다. 업무 규칙 정의 및 지정은 통상의 보안 수준보다 더 큰 보안 수준을 가진 사용자에게 허용될 수 있다. 업무 규칙은 데이터베이스에 유지될 수 있다. 문서 및 도움말 화면은 업무 규칙을 어떻게 정의하고 지정하고 유지하는지에 대하여 제공될 수 있다.
MES(130)는 프로세싱 툴(110) 및/또는 제어기(120)와 관련된 데이터베이스로부터 보고된 데이터를 이용하여 일부 시스템 프로세스를 감시할 수 있다. 공장 수준 업무 규칙은 어떤 프로세스를 감시하고 어떤 데이터를 사용할 것인지를 결정하기 위해 사용될 수 있다. 예를 들면, 프로세싱 툴(110) 및/또는 제어기(120)는 데이터를 독립적으로 수집할 수도 있고, 또는 데이터 수집 처리가 어느 정도까지 공장 시스템(130)에 의해 제어될 수 있다. 또한 공장 수준 업무 규칙은 프로세스가 변경, 일시중지 및/또는 정지된 때 데이터를 관리하는 법을 결정하기 위해 사용될 수 있다.
또한, MES(130)는 프로세싱 툴(110) 및/또는 제어기(120)에 런타임 구성 정보를 제공할 수 있다. 예를 들면, 자동화 프로세스 제어(APC) 세팅, 목표(target), 한계(limit), 규칙 및 알고리즘이 "APC 레시피", "APC 시스템 규칙" 및 "APC 레시피 매개변수"로서 런타임 중에 공장으로부터 프로세싱 툴(110) 및/또는 제어기(120)에 다운로드될 수 있다.
일부 셋업 및/또는 구성 정보는 이들이 시스템에 의해 초기에 구성될 때 프로세싱 툴(110) 및/또는 제어기(120)에 의해 결정될 수 있다. 시스템 수준 업무 규칙은 제어 계층을 확립하기 위해 사용될 수 있다. 예를 들면, 프로세싱 툴(110) 및/또는 제어기(120)는 독립적으로 동작할 수 있고, 또는 프로세싱 툴(110)이 어느 정도까지 제어기(120)에 의해 제어될 수 있다. 또한, 시스템 규칙은 프로세스가 일시중지 및/또는 정지되는 때, 및 프로세스가 일시중지 및/또는 정지된 때 무엇을 행하여야 하는지를 결정하기 위해 사용될 수 있다. 또한, 시스템 규칙은 프로세스를 변경하는 시점 및 프로세스를 변경하는 방법을 결정하기 위해 사용될 수 있다. 더 나아가, 제어기(120)는 툴 수준 규칙을 사용하여 일부 툴 수준 동작을 제어할 수 있다.
일반적으로, 규칙들은 시스템 및/또는 툴 동작이 시스템의 동적 상태에 기초하여 변화할 수 있게 한다.
도 1에는 하나의 프로세싱 툴(110)과 하나의 제어기(120)가 도시되어 있지만, 본 발명이 꼭 이것을 필요로 하는 것은 아니다. 반도체 처리 시스템은 독립 프로세스 툴 및 모듈 이외에 임의 수의 프로세싱 툴 및 이들과 관련된 임의 수의 제어기를 포함할 수 있다.
프로세싱 툴(110) 및/또는 제어기(120)는 임의 수의 독립 프로세스 툴 및 모듈 이외에 임의 수의 프로세싱 툴 및 이들과 관련된 임의 수의 제어기를 구성하기 위해 사용될 수 있다. 프로세싱 툴(110) 및/또는 제어기(120)는 프로세싱 툴, 프로세싱 서브시스템, 프로세스 모듈 및 센서를 수반하는 프로세스로부터 데이터를 수집하고 제공하고 처리하고 저장하고 디스플레이할 수 있다.
프로세싱 툴(110) 및/또는 제어기(120)는 적어도 하나의 툴 관련 애플리케이션, 적어도 하나의 모듈 관련 애플리케이션, 적어도 하나의 센서 관련 애플리케이션, 적어도 하나의 인터페이스 관련 애플리케이션, 적어도 하나의 데이터베이스 관 련 애플리케이션, 적어도 하나의 GUI 관련 애플리케이션 및 적어도 하나의 구성 애플리케이션을 비롯한 다수의 애플리케이션을 포함할 수 있다.
예를 들면, 시스템(100)은 일본 도쿄에 소재하는 도쿄 일렉트론 리미티드(TEL)에서 제조한 APC 시스템을 포함할 수 있고, 상기 APC 시스템은 유니티 툴, 텔리우스 툴, 트라이어스 툴, 및/또는 리디우스 툴과 그 관련된 프로세싱 서브시스템 및 프로세스 모듈을 포함할 수 있다. 또한 시스템은 도쿄 일렉트론 리미티드의 인제니오 시스템 서버와 같은 런투런(R2R) 제어기와, 도쿄 일렉트론 리미티드의 통합 계측 모듈(IMM)을 포함할 수 있다. 대안적으로 제어기(120)는 다른 프로세스 툴 및 다른 프로세스 모듈을 지원할 수 있다.
GUI 구성요소(도시 생략됨)은 사용자가 툴 상태와 프로세스 모듈 상태를 보고; 선택된 웨이퍼에 대한 요약(summary) 및 미가공(raw)(트레이스) 매개변수 데이터의 x-y 챠트를 생성 및 편집하고; 툴 알람 로그를 보고; 데이터를 데이터베이스에 기록하거나 파일을 출력하기 위한 조건들을 지정하는 데이터 수집 계획을 구성하고; 파일들을 통계적 프로세스 제어(SPC) 챠팅, 모델링 및 스프레드시트 프로그램에 입력하고; 특정 웨이퍼에 대한 웨이퍼 처리 정보를 검사하고, 현재 데이터베이스에 저장되어 있는 데이터를 검토하며; 프로세스 매개변수의 SPC 챠트를 생성 및 편집함과 아울러 이메일 경고를 발생하는 SPC 알람을 설정하며; 다변량 PCA 및/또는 PLS 모델을 구동시키고; 진단 화면을 보고 TL 제어기(120)와 관련된 문제점들을 해결 및 보고할 수 있게 하는 인터페이스 사용에 대한 용이성을 제공할 수 있다.
툴로부터의 미가공 데이터(raw data) 및 트레이스 데이터(trace data)는 데이터베이스에 파일로서 저장될 수 있다. 또한, IM 데이터와 호스트 계측 데이터도 데이터베이스에 저장될 수 있다. 데이터의 양은 구성되는 데이터 수집 계획 뿐만 아니라 프로세스가 수행되고 프로세싱 툴이 동작하는 빈도에 의존한다. 프로세싱 툴, 프로세싱 챔버, 센서 및 운영 체제로부터 얻어진 데이터는 데이터베이스에 저장될 수 있다.
다른 실시예에서, 시스템(100)은 클라이언트 워크스테이션(도시 생략됨)을 포함할 수 있다. 시스템은 복수의 클라이언트 워크스테이션을 지원할 수 있다. 클라이언트 워크스테이션은 사용자가 구성 절차를 수행하고; 툴, 제어기, 프로세스 및 공장 상태를 비롯한 상태들을 보고; 현재 및 이력 데이터를 보고, 모델링 및 챠팅 기능을 수행하고; 데이터를 제어기에 입력할 수 있게 한다. 예를 들면, 사용자는 제어기에 의해 수행된 하나 이상의 프로세스를 통제할 관리 권한을 가질 수 있다.
프로세싱 툴(110) 및 제어기(120)는 MES(130)에 결합되어 E-진단 시스템의 일부가 될 수 있다. 프로세싱 툴(110) 및/또는 제어기(120)는 공장 시스템과 정보를 교환할 수 있다. 또한, MES(130)는 프로세싱 툴(110) 및/또는 제어기(120)에 대해 명령을 전송하고/하거나 정보를 오버라이드할 수 있다. 예를 들면, MES(130)는 각 레시피에 대한 가변 매개변수와 함께, 임의 수의 프로세스 모듈, 툴 및 측정 장치에 대한 다운로드 가능한 레시피를 프로세싱 툴(110) 및/또는 제어기(120)에 피드포워드할 수 있다. 가변 매개변수로는 최종 CD 목표, 한계, 옵셋, 및 로트(lot) 별로 조정가능하게 될 필요가 있는 툴 수준 시스템의 변수 등이 있다. 또한, 계측 데이터는 공장 시스템, 또는 도쿄 일렉트론 리미티드의 리디우스 툴과 같은 리소그래피 툴로부터 제어기(120)에 피드포워드 될 수 있다.
더 나아가, MES(130)는 CD SEM 정보와 같은 측정 데이터를 제어기에 제공하기 위해 사용될 수 있다. 대안적으로, CD SEM 정보는 수동으로 제공될 수 있다. 조정 계수는 IM과 CD SEM 측정 간의 임의의 옵셋을 조정하기 위해 사용될 수 있다. CD SEM 데이터의 수동 및 자동 입력은 R2R 제어기의 FB 제어 루프의 이력에 적절한 삽입을 위하여 날짜 등의 타임스탬프를 포함한다.
구성가능 항목들은 GEM SECS 통신 프로토콜을 이용해서 공장 시스템으로부터 전송된 가변 매개변수의 집합으로서 구성될 수 있다. 예를 들면, 가변 매개변수는 "APC 레시피"의 일부로서 통과될 수 있다. APC 레시피는 하나 이상의 서브 레시피를 포함할 수 있고, 각 서브 레시피는 가변 매개변수들을 포함할 수 있다.
도 1에는 또한 하나의 프로세싱 툴(110)이 도시되어 있지만, 본 발명이 꼭 이것을 요구하는 것은 아니다. 대안적으로 추가의 프로세싱 툴이 사용될 수 있다. 하나의 실시예에서, 프로세싱 툴(110)은 하나 이상의 프로세싱 모듈을 포함할 수 있다. 프로세싱 툴(110)은 에칭 모듈, 증착 모듈, 연마 모듈, 코팅 모듈, 현상 모듈 및 열처리 모듈 중의 하나 이상을 포함할 수 있다.
프로세싱 툴(110)은 적어도 하나의 다른 프로세싱 툴 및/또는 제어기에 결합하기 위한 링크(112, 114)를 포함할 수 있다. 예를 들면, 다른 프로세싱 툴 및/또는 제어기는 이 프로세스 전에 수행된 프로세스에 관련될 수 있고, 및/또는 다른 제어기는 이 프로세스 후에 수행되는 프로세스와 관련될 수 있다. 링크(112)와 링크(114)는 정보를 피드포워드 및/또는 피드백하기 위해 사용될 수 있다. 예를 들면, 피드포워드 정보는 인입(in-coming) 웨이퍼와 관련된 데이터를 포함할 수 있다. 이 데이터는 로트 데이터, 배치(batch) 데이터, 런 데이터, 조성 데이터 및 웨이퍼 이력 데이터를 포함할 수 있다. 데이터는 웨이퍼에 대한 입력 상태를 확립하기 위해 사용되는 처리 전 데이터(pre-process data)를 포함할 수 있다. 처리 전 데이터의 제1 부분은 제어기(120)에 제공되고, 처리 전 데이터의 제2 부분은 프로세싱 툴(110)에 제공될 수 있다. 대안적으로, 상기 2개의 부분은 동일한 데이터로 구성될 수 있다.
프로세싱 툴(110)은 단일의 통합 계측 모듈(IMM) 장치(도시 생략됨) 또는 다중 측정 장치를 포함할 수 있다. 시스템(100)은 모듈 관련 측정 장치, 툴 관련 측정 장치 및 외부 측정 장치를 포함할 수 있다. 예를 들면, 데이터는 하나 이상의 프로세스 모듈에 결합된 센서 및 프로세싱 툴에 결합된 센서로부터 얻어질 수 있다.
센서는 광학 분광 분석기(Optical Emission Spectroscopy; OES) 센서를 포함할 수 있고, 결함 검출 애플리케이션은 OES 센서로부터의 데이터를 이용할 수 있다. 예를 들면, 파장 범위는 201 내지 205nm로부터 896 내지 900nm까지의 범위에 걸친다.
또한, 데이터는 SEM 툴 및 광학 디지털 형상화(ODP) 툴과 같은 외부 장치로부터 얻어질 수 있다. ODP 툴은 반도체 장치의 구조의 프로파일을 측정하기 위한 특허된 기술을 제공하는 팀브리 테크놀로지즈 인크.(Timbre Technologies Inc.)(TEL 회사)로부터 구할 수 있다. 예를 들면, ODP 기술은 임계 치수(CD) 정보, 구조 프로파일 정보, 또는 비아(via) 프로파일 정보를 얻기 위해 사용될 수 있다.
제어기(120)는 프로세싱 툴(110) 및 MES(130)에 결합되고, 처리 전 데이터 및 처리 후 데이터 등의 정보가 이들 사이에서 교환될 수 있다. 예를 들면, 내부 리셋 이벤트가 툴로부터 발생된 때, 제어기(120)는 그 이벤트에 관한 정보를 포함하는 메시지를 MES(130)에 보낼 수 있다. 이것은 공장 시스템 및/또는 공장 직원이 교정적 또는 예방적 유지 관리 중에 발생하는 것과 같은 주요 변화가 발생한 후에 위험 상태에 있는 웨이퍼의 수를 최소화하기 위한 필요한 변경을 행할 수 있게 한다.
도 1에는 또한 단일 제어기(120)가 도시되어 있지만, 본 발명이 꼭 이것을 요구하는 것은 아니다. 대안적으로, 추가의 제어기를 사용할 수 있다. 예를 들면, 제어기(120)는 런투런(R2R) 제어기, 피드포워드(FF) 제어기, 프로세스 모델 제어기, 피드백(FB) 제어기, 또는 프로세스 제어기, 또는 이들의 2개 이상의 조합을 포함할 수 있다(상기 제어기들은 모두 도 1에서 도시 생략되어 있다).
제어기(120)는 적어도 하나의 다른 제어기에 결합하기 위한 링크(122, 124)를 포함할 수 있다. 예를 들면, 다른 제어기는 이 프로세스 전에 수행된 프로세스에 관련될 수 있고/있거나 다른 제어기는 이 프로세스 후에 수행되는 프로세스와 관련될 수 있다. 링크(122)와 링크(124)는 정보를 피드포워드 및/또는 피드백하기 위해 사용될 수 있다.
제어기(120)는 인입 재료(입력 상태)의 측정된 임계 치수와 목표 임계 치수(희망 상태(desired state)) 간의 차이를 이용하여 웨이퍼의 상태를 입력 상태로부터 희망 상태로 변경시키는 희망 처리 결과를 달성하기 위해 프로세스 매개변수의 집합을 예측하거나 선택하거나 계산할 수 있다. 예를 들면, 프로세스 매개변수의 상기 예측된 집합은 입력 상태 및 희망 상태에 기초하여 사용할 레시피의 제1 추정치(estimate)일 수 있다. 일 실시예에서, 입력 상태 및/또는 희망 상태 데이터와 같은 데이터는 호스트로부터 얻어질 수 있다.
하나의 경우에, 제어기(120)는 입력 상태 및 웨이퍼의 희망 상태에 대한 모델 방정식을 알고 있고, 제어기는 웨이퍼를 입력 상태로부터 처리된 상태로 변경하기 위해 웨이퍼에서 수행될 수 있는 레시피의 집합을 결정한다. 예를 들면, 레시피의 집합은 프로세스 모듈의 집합을 수반하는 다단계 프로세스를 묘사할 수 있다.
제어기의 시상수는 측정들 간의 시간에 기초할 수 있다. 로트가 완료된 후 측정 데이터를 이용할 수 있을 때, 제어기의 시상수는 로트들 간의 시간에 기초할 수 있다. 웨이퍼가 완료된 후 측정 데이터를 이용할 수 있을 때, 제어기의 시상수는 웨이퍼들 간의 시간에 기초할 수 있다. 처리 중에 측정 데이터가 실시간으로 제공되면, 제어기의 시상수는 웨이퍼 내에서 처리 단계에 기초할 수 있다. 웨이퍼가 처리되는 동안 또는 웨이퍼가 완료된 후 또는 로트가 완료된 후 측정 데이터를 이용할 수 있을 때, 제어기는 처리 단계들 간, 웨이퍼들 간, 및/또는 로트들 간의 시간에 기초하는 다중 시상수를 가질 수 있다.
하나 이상의 제어기는 임의의 시점에서 동작할 수 있다. 예를 들면, 하나의 제어기는 동작 모드에 있고 제2 제어기는 감시 모드에 있을 수 있다. 또한, 다른 하나의 제어기는 시뮬레이션 모드에서 동작할 수 있다. 제어기는 단일 루프 또는 다중 루프를 포함할 수 있고, 루프들은 다른 시상수를 가질 수 있다. 예를 들면, 루프는 웨이퍼 타이밍, 로트 타이밍, 배치 타이밍, 챔버 타이밍, 툴 타이밍 및/또는 공장 타이밍에 의존할 수 있다.
제어기는 입력 상태, 프로세스 특성 및 프로세스 모델에 기초하여 웨이퍼의 예측 상태를 연산할 수 있다. 예를 들면, 트림률(trim rate) 모델은 처리 시간과 함께 사용되어 예측 트림량을 연산할 수 있다. 대안적으로, 에칭률 모델을 처리 시간과 함께 사용하여 에칭 깊이를 연산할 수 있고, 증착률 모델을 처리 시간과 함께 사용하여 증착 두께를 연산할 수 있다. 또한, 모델들은 SPC 챠트, PLS 모델, PCA 모델, FDC 모델 및 다변량 분석(MVA) 모델을 포함할 수 있다.
제어기는 프로세스 모듈에서 프로세스 매개변수 한계용으로 외부에서 공급된 데이터를 수신하여 활용할 수 있다. 예를 들면, 제어기 GUI 구성요소은 프로세스 매개변수 한계의 수동 입력 수단을 제공한다. 또한, 공장 수준 제어기는 각 프로세스 모듈에 프로세스 매개변수의 한계를 제공할 수 있다.
제어기는 상업적으로 이용가능한 모델링 소프트웨어에 의해 생성된 모델들을 수신하고 실행할 수 있다. 예를 들면, 제어기는 외부 애플리케이션에 의해 생성되어 제어기에 전송된 모델들을 수신하고 실행할 수 있다.
제어기(120)는 랜덤 노이즈를 제거하기 위해 계측 데이터를 필터링하는 하나 이상의 필터(도시 생략됨)를 포함할 수 있다. 예외점 필터(outlier filter)는 정적 으로 유효하지 않고 웨이퍼 측정의 평균의 계산에서 고려되어서는 안되는 아웃라이어(outlier)를 제거하기 위해 사용될 수 있다. 노이즈 필터는 랜덤 노이즈를 제거하고 제어 루프를 안정화하기 위해 사용될 수 있고, 지수 가중 이동 평균(EWMA) 또는 칼만 필터(Kalman filter)가 적용될 수 있다.
일 실시예에서, 제어기(120)는 FDC 애플리케이션을 구동하기 위해 사용될 수 있고, 알람/결함 조건에 관한 정보를 전송 및/또는 수신할 수 있다. 예를 들면, 제어기는 공장 수준 제어기 또는 툴 수준 제어기에 FDC 정보를 전송하고 그 제어기로부터 FDC 정보를 수신할 수 있다. 또한, FDC 정보는 예외 조건의 식별 후에 E-진단 네트워크, 이메일 또는 페이저를 통해 전송될 수 있다. 다른 실시예에서, FDC 애플리케이션은 다른 제어기에서 구동될 수 있다.
제어기(120)는 알람/결함에 응답하여 알람/결함의 특성에 따라 각종 행동을 취할 수 있다. 알람/결함에서 취해지는 행동들은 시스템 레시피, 프로세스 레시피, 모듈 유형, 모듈 식별 번호, 적재 포트 번호, 카세트 번호, 로트 번호, 제어 잡 ID, 프로세스 잡 ID, 및/또는 슬롯 번호에 의해 특정된 콘텍스트에 대하여 확립된 업무 규칙에 기초할 수 있다. 일 실시예에서, 제어기는 취해질 행동들을 결정한다. 대안적으로, 제어기는 FDC 시스템에 의해 어떤 특정의 행동들을 취하도록 명령을 받을 수 있다.
제어기는 입력 및 출력 데이터를 압축보관(archiving)하기 위한 데이터베이스 구성요소을 포함할 수 있다. 예를 들면, 제어기는 수신된 입력, 전송된 출력 및 검색가능 데이터베이스에서 제어기에 의해 취해진 행동들을 아카이브할 수 있다. 또한, 제어기는 데이터 백업 및 복구를 위한 수단을 포함할 수 있다. 또한, 검색가능 데이터베이스는 모델 정보, 구성 정보, 및 이력 정보를 포함할 수 있고, 제어기는 데이터베이스 구성요소을 이용하여 모델 정보 및 모델 구성 정보의 이력 및 현재 정보를 둘 다 백업 및 복구할 수 있다.
제어기는 웹 기반 사용자 인터페이스를 포함할 수 있다. 예를 들면, 제어기는 데이터베이스 내 데이터를 보기 위한 웹 인에이블 GUI 구성요소을 포함할 수 있다. 제어기는 보안 관리자에 의해 수여된 허가에 의존해서 다중 액세스 레벨을 제공하는 보안 성분을 포함할 수 있다. 제어기는 설치 시간에 제공된 디폴트 모델의 집합을 포함할 수 있어서 제어기가 디폴트 조건으로 리셋할 수 있다.
제어기는 동시에 실행되고 다른 집합의 프로세스 레시피 제약을 받기 쉬운 다중 프로세스 모델을 관리하는 능력이 있다. 제어기는 3개의 상이한 모드, 즉 시뮬레이션 모드, 테스트 모드 및 표준 모드에서 동작할 수 있다. 제어기는 실제의 프로세스 모드와 병행하여 시뮬레이션 모드에서 동작할 수 있다. 또한, FDC 애플리케이션이 병렬로 동작하여 실시간 결과를 생성할 수 있다.
반도체 처리 시스템이 호스트 시스템과 하나 이상의 처리 시스템을 포함하는 경우, 호스트 시스템은 마스터 시스템으로 동작하고 처리 동작의 대부분을 제어 및/또는 감시할 수 있다. 호스트 시스템은 처리 순서(process sequence)를 생성할 수 있고, 처리 순서를 처리 시스템에 전송할 수 있다. 일 실시예에서, 처리 순서는 측정 모듈 비지트(visit)와 프로세싱 모듈 비지트의 순서를 포함할 수 있다. 프로세스 잡(process job; PJ)은 각각의 측정 모듈 비지트 및 각각의 프로세싱 모듈 비지 트에 대하여 생성될 수 있다.
또한, 프로세싱 시스템 제어기가 시뮬레이션 모델을 실행할 때 가상 측정이 행하여질 수 있다. 시뮬레이션 모델 실행으로부터 얻어진 결과들은 저장되어 잠재적 결함 조건을 예측하기 위해 사용될 수 있다.
도 2는 본 발명의 실시예에 따른 통합 처리 시스템(100')의 간단한 블록도를 도시한 것이다. 도시된 실시예에서, 처리 시스템(TELIUS™)은 프로세싱 툴, 통합 계측 모듈(IMM) 및 툴 수준 고급 프로세스 제어(APC) 제어기를 포함하는 것으로 도시되어 있다. 당업계에 잘 알려져 있는 바와 같이, 통합 처리 시스템(100')의 성분들은 단지 본 발명의 시스템에서 예시적으로 도시한 것이다. 당업계에 잘 알려져 있고 이하의 설명으로부터 명백하게 되는 바와 같이, 본 발명의 성분들의 조합의 치환은 중요하다. 이러한 각각의 변화는, 여기에서 설명하지는 않지만, 본 발명의 범위 내에 속하는 것으로 한다.
도 2에 도시한 것과 같은 시스템(100')은 IMM 웨이퍼 샘플링을 제공할 수 있고, 웨이퍼 슬롯 선택은 (PJ 생성) 기능을 이용하여 결정될 수 있다. R2R 제어 구성은 다른 변수들 중에서도 특히, 피드포워드 제어 계획 변수, 피드백 제어 계획 변수, 계측 눈금조정(calibration) 매개변수, 제어 한계 및 SEMI 표준 가변 매개변수표준 가변 매개변수 계측 데이터 리포트는 무엇보다도 특히 웨이퍼, 사이트, 구조 및 조성 데이터를 포함할 수 있고, 툴은 웨이퍼의 실제 세팅을 보고할 수 있다.
IMM 시스템은 팀브리 테크놀로지즈의 광학 디지털 형상측정(ODP) 시스템과 같은 광학 측정 시스템을 포함할 수 있고, 상기 ODP 시스템은 진정한 장치 프로파 일, 정확한 임계 치수(CD) 및 웨이퍼의 다중층 막 두께를 측정하기 위해 타원 편광 분석기, 반사 측정기 또는 다른 광학 장비를 이용한다. 팀브리 테크놀로지즈, 인크.는 캘리포니아 회사이고 TEL에 전체 소유권이 있는 자회사이다.
프로세스는 인라인(in-line)으로 실행되어 분석을 수행하기 위해 웨이퍼의 진행을 중단시킬 필요성을 제거한다. ODP는 인라인 프로파일 및 CD 측정을 위한 기존의 박막 계측 툴과 함께 사용될 수 있고, 실시간 프로세스 감시 및 제어를 제공하기 위해 TEL 프로세싱 툴과 통합될 수 있다. ODP 프로파일러는 실제 프로파일, CD 및 막두께 결과를 제공하기 위한 고정밀 계측 툴로서 및 인라인 프로세스 익스커션(excursion) 또는 프로세스 결함을 검출하기 위한 수율 증대 도구(yield enhancement tool)로서 사용될 수 있다.
ODP™ 솔루션은 3개의 핵심 요소를 갖는다. 즉, ODP™ 프로파일러™ 라이브러리는 광학 스펙트럼의 용도 지정 데이터베이스와 그 대응하는 반도체 프로파일, CD 및 막두께를 포함한다. 프로파일러™ 애플리케이션 서버(PAS)는 광학 하드웨어 및 컴퓨터 네트워크와 접속되는 컴퓨터 서버를 포함한다. 이 서버는 데이터 통신, ODP 라이브러리 동작, 측정 프로세스, 결과 생성, 결과 분석 및 결과 출력을 취급한다. ODP™ 프로파일러™ 소프트웨어는 측정 레시피, ODP™ 프로파일러™ 라이브러리, ODP™ 프로파일러™ 데이터, ODP™ 프로파일러™ 결과 검색/정합, ODP™ 프로파일러™ 결과 계산/분석, 데이터 통신 및 각종 계측 툴과 컴퓨터 네트워크에 대한 PAS 인터페이스를 관리하기 위해 PAS에 설치된 소프트웨어를 포함한다.
도쿄 일렉트론 리미티드의 인제니오 시스템과 같은 제어 시스템은 레시피 관 리 애플리케이션과 같은 관리 애플리케이션을 포함할 수 있다. 예를 들면, 레시피 관리 애플리케이션은 인제니오 시스템으로부터의 네트워크 환경을 통해 장비와 동기화되는 인제니오 시스템 데이터베이스에 저장된 레시피를 보기 및/또는 제어하기 위해 사용될 수 있다. 인제니오 클라이언트는 공장으로부터 소정 거리에 별도로 위치될 수 있고, 다중 장비 유닛에 포괄적 관리 기능을 제공할 수 있다.
레시피는 레시피 집합, 클래스, 및 오브젝트로서 디스플레이될 수 있는 레시피를 포함할 수 있는 트리 구조로 조직될 수 있다. 레시피는 프로세스 레시피 데이터, 시스템 레시피 데이터 및 IMM 레시피 데이터를 포함할 수 있다. 데이터는 레시피 집합을 이용하여 저장 및 조직될 수 있다. 프로세싱 툴에 있는 IMM 레시피는 웨이퍼 샘플링 및 슬롯과 IM 레시피 간의 관계를 결정하기 위해 사용될 수 있다. IM 레시피는 IM 측정 하드웨어에 존재할 수 있고, 텔리우스 IMM 레시피에서 선택될 수 있고, 패턴 인식 정보를 포함할 수 있고, 각 웨이퍼 상에서 샘플링하기 위한 칩들을 식별하기 위해 사용될 수 있고, 어떤 PAS 레시피를 사용할 것인지를 결정하기 위해 사용될 수 있다. PAS 레시피는 어떤 ODP 라이브러리를 사용할 것인지를 결정하기 위해, CD, 측벽각(SWA), 두께, 트렌치 폭 및 적합도(GOF)와 같은 보고할 측정 메트릭을 정의하기 위해 사용될 수 있다.
인제니오 시스템은 제어 전략으로서 동작할 수 있는 APC 애플리케이션을 포함할 수 있고, 제어 전략은 에칭 툴 레시피와 같은 프로세싱 툴 레시피와 관련될 수 있다. 런타임에서의 웨이퍼 수준 콘텍스트 매칭은 웨이퍼별 맞춤 구성을 가능하게 한다(슬롯, 웨이퍼 ID, 로트 ID 등). 제어 전략은 하나 이상의 제어 계획을 포 함할 수 있고, 제어되는 프로세스 모듈 및/또는 측정 모듈은 프로세스 모듈 및/또는 측정 모듈에 대한 비지트를 위해 정의된 적어도 하나의 계획을 갖는다. 제어 계획은 모델, 제어 한계, 목표를 내포할 수 있고, 정적 레시피, 공식 모델 및 피드백 계획을 포함할 수 있다.
제어 전략은 시스템 레시피 및 프로세싱 툴을 확립하고; 제어 계획을 결정하고; 장애(failure)에 응답하여 행동을 확립하고; 콘텍스트를 확립하고; 제어 유형(표준, 시뮬레이트 또는 테스트)을 확립하고; 제어 행동(인에이블/디스에이블)을 확립하고; 제어 상태(보호/비보호)를 확립하기 위해 사용될 수 있다.
제어 계획은 모듈 내에서 다수의 처리 단계를 커버할 수 있고, 공장에 의해 제어될 수 있다. 매개변수 범위는 각 프로세스 및/또는 측정 모듈에 대하여 정의될 수 있고, 가변 매개변수인 "한계 범위"는 각각의 제어 매개변수에 제공된다.
인제니오 시스템은 분석 전략으로서 동작할 수 있는 APC 애플리케이션을 포함할 수 있고, 분석 전략은 수집된 데이터를 분석하고 오류 조건을 확립하기 위해 사용될 수 있다. 분석 전략은 콘텍스트가 정합될 때 실행될 수 있다. 분석 전략의 실행 중에, 하나 이상의 분석 계획이 실행될 수 있다. 예를 들면, 단변량 SPC 모델/계획이 실행되어 SPC 알람을 트리거할 수 있고; PCA 및/또는 PLS 모델/계획이 실행되어 SPC 알람을 트리거할 수 있으며; 다변량 SPC 모델/계획이 실행되어 SPC 알람을 트리거할 수 있고; 다른 파일 출력 계획이 실행되어 소프트웨어 알람을 트리거할 수 있다.
계획은 데이터 장애가 발생한 때, 실행 문제가 발생한 때, 또는 제어 문제가 발생한 때 오류를 발생할 수 있다. 오류가 발생되면, 계획은 알람 메시지를 발생할 수 있고; 모전략 상태(parent strategy status)는 장애 상태로 변경될 수 있으며; 계획 상태는 장애 상태로 변경될 수 있고; 하나 이상의 메시지가 알람 로그 및 FDC 시스템에 전송될 수 있다. 피드포워드 또는 피드백 계획이 실패이면, 모전략의 하나 이상의 계획이 종료되고 그들의 상태가 장애 상태로 변경될 수 있다. 하나의 경우에, 불량 인입 웨이퍼가 검출되면, 제어 계획은 이것을 결함 인입 웨이퍼로서 검출 및/또는 식별할 수 있다. 또한, 피드백 계획이 가능하게 되면, 피드백 계획은 다른 계획에 의해 불완전한 그리고/또는 결함있는 것으로 식별된 웨이퍼를 스킵할 수 있다. 데이터 수집 계획은 이 웨이퍼에 대한 모든 측정 사이트에서 데이터를 거부할 수 있고, 또는 GOF가 낮기 때문에 데이터를 거부할 수 있다.
일 실시예에서, 피드백 계획 장애는 전략 또는 다른 계획을 종료시키지 않을 것이다. 성공적인 계획 및/또는 전략은 어떠한 오류/알람 메시지도 발생하지 않는다.
전략 및/또는 계획 오류에 대한 선지정 장애 행동(pre-specified failure action)은 데이터베이스에 저장될 수 있고, 오류가 발생하였을 때 데이터베이스로부터 검색될 수 있다. 장애 행동은 이 웨이퍼 및 모듈에 대한 명목(nominal) 프로세스 레시피를 사용하는 것; 이 웨이퍼 및 모듈에 대한 무효(null) 프로세스 레시피를 사용하는 것; 프로세스 모듈을 일시중지시키고 중재를 기다리는 것; 툴 전체를 일시중지시키고 중재를 기다리는 것을 포함할 수 있다. 예를 들면, 프로세싱 툴은 오류가 있는 웨이퍼가 R2R 장애가 발생한 목표 프로세스 모듈에 도달하는 때에 만 행동을 취할 수 있고, 프로세싱 툴은 다른 로트, 레시피, 또는 다른 모듈의 웨이퍼의 처리를 계속할 수 있을 것이다.
인제니오 시스템은 알람/결함 조건을 관리하기 위한 애플리케이션을 포함하는 FDC 시스템을 포함할 수 있다. 알람 및/또는 결함 조건이 검출된 때, FDC 시스템의 FDC 애플리케이션은 하나 이상의 프로세싱 모듈 및/또는 툴에 메시지를 전송할 수 있다. 예를 들면, 메시지는 현재 프로세스를 일시중지시키도록 또는 현재 프로세스를 정지시키도록 전송될 수 있다. 하나의 경우에, 툴 일시중지/정지는 유지관리 카운터의 값을 변경함으로써 행하여질 수 있다.
FDC 시스템은 결함을 검출하고, 툴 성능을 예측하고, 금지적 유지관리 스케쥴을 예측하고, 유지관리 정지 시간(downtime)을 감소시키는 것이 가능하며, 프로세싱 툴의 소모성 부품들의 서비스 수명을 연장할 수 있다. FDC 시스템은 툴 및 추가의 센서로부터 데이터를 수집하고, 요약 매개변수를 계산하고, 다변량 분석(MVA)을 수행하고, 통계적 프로세스 제어(SPC)를 이용하여 결과치를 정상 동작과 비교한다. 예를 들면, SPC 성분은 일련의 웨스턴 일렉트릭 런 규칙 평가(Western Electric run-rule evaluation)를 수행할 수 있고, 런 규칙에 위배되면 SPC 알람을 발생할 수 있다.
APC 시스템과 FDC 시스템의 동작은 고객별로 구성될 수 있고 처리되는 웨이퍼의 콘텍스트에 기초할 수 있다. 콘텍스트 정보는 레시피, 로트, 슬롯, 제어 잡 및 프로세스 잡을 포함한다. APC 시스템과 FDC 시스템의 사용자 인터페이스는 웹 인에이블되고, 거의 실시간 툴 상태 및 실시간 알람 상태 디스플레이를 제공한다.
APC 시스템 및 FDC 시스템에 의해 취해지는 모든 데이터와 행동들은 관련 데이터베이스에 배치된다. 이력 데이터베이스는 트레이스 매개변수, 요약 매개변수, SPC 한계, SPC 런 규칙 위배, 알람, 오류, 결함, 예외 및 통지를 비롯한 툴 프로세싱의 검색가능 기록을 제공한다. 또한, 데이터 시각화 툴(data visulization tool)은 단일 및 다중 웨이퍼로부터 트레이스 및 요약 데이터를 보기 및 오버레이하기 위해 제공된다. 웨이퍼 선택은 웨이퍼 데이터가 챠팅(charting) 전에 선택 및 분류되게 하는 데이터 탐색기에 의해 제공된다. 또한, 일단 결함이 검출되면, 사용자는 데이터를 더욱 검사하고 결함의 소스를 특징화하기 위해 연속적인 계층으로 "드릴다운"(drill down)할 수 있다.
제어 및/또는 운영 데이터가 호스트 시스템에 의해 제어기로 보내진 때, 그 데이터와 관계된 오류 및/또는 알람이 호스트 시스템에 보내질 수 있다. 예를 들면, 무효 제어 전략 및/또는 계획명이 보내지면 오류가 호스트 시스템에 되돌려 보내질 것이고; 무효 프로세스 매개변수 및/또는 한계가 보내지면 오류가 호스트 시스템에 되돌려 보내지며; 무효 처리 순서 및/또는 레시피가 보내지면 오류가 호스트 시스템에 되돌려 보내질 것이다.
알람 및/또는 결함 조건은 클라이언트 워크스테이션 또는 호스트 시스템 워크스테이션을 이용하여 보여지고/보여지거나 클리어될 수 있다. 경고(warning)는 클라이언트 버젼과 호스트 버젼 간에 불일치가 있을 때 디스플레이될 수 있다. 관리자 화면 및/또는 메일박스는 FDC 시스템에 의해 보내진 알람 이메일 및 진단 이메일을 디스플레이하기 위해 사용될 수 있다. 웨이퍼 id(wafer_id), 런 id(run_id) 및/또는 슬롯 id(slot_id)와 같은 웨이퍼 정보는 알람을 발생한 정확한 웨이퍼를 식별하기 위하여 저장 및 디스플레이될 수 있다.
FDC 시스템은 웨이퍼를 처리하기 전에, 처리하는 동안 및/또는 처리한 후에 사용되는 각종 프로세싱 모듈과 R2R 제어기 간의 상호작용과 관계된 알람/결함을 포함할 수 있다. 예를 들면, 알람은 접속 문제, 조정 문제, 타임아웃 문제, 레시피 문제 및/또는 검증 문제와 관련될 수 있다. FDC 시스템은 알람에 대한 응답을 결정할 수 있다. 예를 들면, 응답은 프로세싱 모듈이 처리 순서를 계속하게 하는 것, 처리 순서를 일시 중지하는 것, 처리 순서를 변경하는 것, 및/또는 처리 순서를 정지시키는 것을 포함할 수 있다. 행동들은 즉시 실행될 수 있고, 웨이퍼의 처리가 완료된 후에 실행될 수 있고/있거나 로트 처리가 완료된 후에 실행될 수 있다. 행동들은 호스트에 의해 제공된 규칙에 기초할 수 있고, 규칙들은 분석 전략의 일부일 수 있다. 또한, FDC 시스템은 알람/결함을 분류하고/하거나 유지 관리 행동을 추천하는 메시지를 제공할 수 있다.
R2R 제어기 및 관련 소프트웨어는 알람을 생성할 수 있고, FDC 시스템은 R2R 알람을 평가하여 결함 조건을 선언할 시점을 결정할 수 있다. 일부 알람은 정보성일 수 있고, FDC 시스템은 각 알람에 대해 결함 조건을 선언하지 않는다.
R2R 제어기는 R2R 상태 필드 항목을 포함할 수 있고, 그 값은 FDC 및/또는 호스트 제어기와 같은 다른 제어기에 상태 및/또는 알람 데이터를 제공하기 위해 사용될 수 있다. 예를 들면, 값은 완료 장애(COMPLETED_FAILURE), 제어 장애(CONTROL_FAILURE), 데이터 장애(DATA_FAILURE), 피드백 장 애(FEEDBACK_FAILURE), 중단(ABORTED), 대기 데이터(AWAITING_DATA), 준비(READY), 실행(EXECUTING), 신규(NEW), 레시피 준비(RECIPE_READY), 완료 성공(COMPLETED_SUCCESS), 또는 미처리(UNPROCESSED), 또는 다른 텍스트를 포함할 수 있다. R2R 상태 필드 항목은 하나 이상의 제어 계획의 현재 실행 상태를 보고하기 위해서 및 하나 이상의 웨이퍼 제어 전략의 현재 실행 상태를 보고하기 위해서 사용될 수 있다.
업무 규칙은 알람이 발생된 때 또는 FDC 시스템이 결함 조건을 선언한 때 웨이퍼에 대하여 무엇을 해야 하는지를 결정하기 위해 사용될 수 있다. 예를 들면, 웨이퍼의 처리가 웨이퍼를 손상시키지 않고 프로세싱 모듈에서 계속될 수 있는지, 웨이퍼를 이송 챔버(transfer chamber)과 같은 홀딩 위치로 이동할 수 있는지, 웨이퍼를 측정 모듈로 이동할 수 있는지, 또는 웨이퍼를 시스템 외부로 이동할 수 있는지를 결정할 수 있을 때까지 프로세싱 툴에 웨이퍼를 유지할 수 있다. 일부 경우에, 프로세스 레시피는 알람 또는 결함 조건과 같은 오류에 응답하여 프로세싱 툴에 보내질 수 있다. 예를 들면, 정상 프로세스 레시피가 툴에 전송될 수 있고, 또는 무효 레시피가 툴에 전송될 수 있고, 또는 복구 레시피가 툴에 전송될 수 있다. 하나의 경우에, 불량 인입 웨이퍼(포토 레지스트 상실, 트림 범위 밖의 CD)가 검출되면, 제어 계획은 이것을 결함 인입 웨이퍼로 검출 및/또는 식별하고 그 모듈에서 무효 레시피를 동작시킨다. 또한, MES는 웨이퍼가 처리되지 않았고 웨이퍼가 리소그래피 툴에서 재작업될 수 있다는 것을 통지받을 수 있다.
인제니오 시스템은 데이터 복구 애플리케이션을 포함한다. 예를 들면, APC 시스템 및/또는 FDC 시스템이 소정의 시간동안 툴로부터 비접속되면, 툴은 웨이퍼를 정상적으로 계속하여 처리하고 데이터를 툴의 하드 드라이버에 파일로 저장할 수 있다. 그러나, APC 시스템 및/또는 FDC 시스템이 접속되지 않았기 때문에 데이터가 APC 시스템 및/또는 FDC 시스템 데이터베이스에 저장되지 않는다. 재접속되면, APC 시스템 및/또는 FDC 시스템은 툴의 하드 드라이버에 저장된 데이터를 스캔하고, 상실된 데이터(missing data)를 APC 시스템 및/또는 FDC 시스템 데이터베이스에 배치한다.
FDC 시스템은 분석 전략과 일치하는 모든 웨이퍼에 대하여 런타임에서 FDC 모델을 실행할 수 있다. SPC 모델/계획은 단일 변수 기술을 이용하고, 변수들 간의 동시 상호작용을 포함하지 않는다. MVA 모델/계획은 다중 변수 및 그들의 상호작용을 이용한다. PCA 기술은 프로세스가 "정상"인지를 결정하기 위해 사용되고, PLS 기술은 입력에 기초한 출력을 예측하기 위해 사용될 수 있다.
모델의 수학적 출력은 런 규칙 평가를 위해 SPC 챠트에 배치될 수 있다. 예를 들면, SPC 챠트는 위배로 되어 프로세스가 "정상"이 아닐 때(PCA 알람), 또는 예측된 출력이 스펙(spec)을 벗어날 때(PLS 알람) 알람을 야기할 수 있다. 또한, 시스템이 데이터 교환시에 오류와 만나면 소프트웨어 알람이 야기될 수 있다. 알람은 GUI 화면의 서브패널에서뿐만 아니라 알람 로그에서 디스플레이될 수 있다.
FDC 시스템은 하나 이상의 인에이블된 매개변수에 대해서 SPC 챠트를 자동으로 생성 및/또는 존재(populate)하게 하는 SPC 자동 구성 애플리케이션을 포함할 수 있다. SPC 챠트는 툴, 모듈, 레시피, 단계, 매개변수 및 요약 기능이라고 라벨 이 붙여질 수 있고, SPC 한계는 설정값, 데이터의 초기 설정, 또는 각 매개변수에 대한 고정된 미리정해진 한계에 기초할 수 있다. 또한, 애플리케이션은 나중의 동작을 위해 SPC 계획을 실행할 수 있는 특정 모듈 및 레시피에 대하여 분석 전략을 생성할 수 있다. FDC 시스템은 템플레이트로서 사용할 수 있고 새로 생성된 SPC 계획에 복사되는 알람 취급 옵션을 정의하도록 편집될 수 있는 자동 템플레이트 SPC 계획을 포함할 수 있다. 자동 템플레이트 SPC 계획은 시스템의 수동 구성에 필요한 시간량을 감소시키고; 시스템이 현재 레시피 설정값 또는 챠트에 부가된 데이터 포인트에 기초하여 매개변수에 대한 지능 한계를 자동으로 계산할 수 있게 하고; 사용자가 구성을 미리 준비할 것을 요구하지 않고 시스템이 새로운 레시피에 대한 SPC 챠트를 자동으로 생성하게 하며; 콘텍스트 구동될 수 있다.
각 FDC 항목은 그 항목과 관련된 명칭 필드(name field)를 가질 수 있고, 각 FDC 항목은 유일한 명칭을 가질 것이다. 각 FDC 항목은 또한, FDC 결과 필드와 같이, 그 항목과 관련된 유일한 결과 필드를 가질 수 있다. 결과 필드는 상태 표시를 포함할 수 있다.
알람이 발생된 때, FDC 시스템은 통지 및/또는 중재를 수행할 수 있다. 통지는 이메일, 페이저, 셀폰, 또는 다른 무선 장치를 통하여 행하여질 수 있다. 통지는 개인에 의해, 날짜에 의해, 및 하루 중의 시간에 의해 구성될 수 있다. 예를 들어서, 일부 경우에, 작업장에서 예정되어 있는 처리 및 유지 관리 직원은 통지를 수신할 것이다. 예를 들면, 하나 이상의 GUI 화면(도시 생략됨)은 툴 운용자, 워크스테이션의 프로세스 엔지니어 및 호스트 모니터에 제공될 수 있다. GUI 디스플레 이는 일시중지된 프로세스 중에 웨이퍼에 대한 위치를 보여줄 수 있고, 일시중지되지 않은 다른 프로세싱 모듈의 다른 웨이퍼에 대한 현재 프로세스 위치를 보여줄 수 있다.
결함 조건이 선언된 때, FDC 시스템에 의해 전송된 하나 이상의 결함 메시지는 결함 시스템 식별자를 내포할 수 있다. 예를 들면, 필드 엔지니어는 오류의 가장 가능성있는 원인이 무엇인지를 결정하기 위해 결함 시스템 식별자를 내포할 수 있다. 결함 시스템 식별자는 오류/알람의 원인/해결책을 식별하는 조합(combination) 및 영숫자 문자이다. 데이터베이스는 매트릭스 시스템 식별자에 대한 필드를 가질 것이다. 알람 로그는 결함 시스템 식별자, 날짜/시간, 알람 수준, 수신자, 툴, 프로세스 모듈 및/또는 알람 메시지를 이용하여 분류될 수 있다.
또한, FDC 시스템은 현재 로트의 끝에서 프로세싱 툴의 일시중지, 현재 웨이퍼의 끝에서 프로세싱 툴의 일시중지, 현재 로트의 끝에서 프로세싱 모듈의 일시중지, 현재 웨이퍼의 끝에서 프로세싱 모듈의 일시중지, 다른 툴로 웨이퍼/로트의 리라우팅, 또는 다른 모듈로 웨이퍼/로트의 리라우팅 중의 적어도 하나를 포함하는 중재를 수행할 수 있다.
도 3은 본 발명의 실시예에 따른 결함 관리 프로세스의 간단한 흐름도를 도시한 것이다. 도시된 실시예에서는 피드포워드/피드백 처리가 도시되어 있지만, 이것이 꼭 필요한 것은 아니다. 다른 실시예에서는 다른 구성을 사용할 수 있다.
처리 전 데이터 요소(305)는 데이터 수신, 데이터 처리, 데이터 저장 및 데이터 전송과 같은 기능들을 포함할 수 있다. 데이터는 입력 데이터, 출력 데이터, 처리된 데이터, 이력 데이터, 툴/모듈 데이터 및 알람 데이터를 포함할 수 있다. 예를 들면, 데이터는 전처리 및/또는 후처리 계측 데이터를 포함할 수 있고, 계측 데이터는 사이트 측정 데이터 및 웨이퍼 데이터를 포함할 수 있다. 사이트 측정 데이터는 적합도(GOF), 격자 두께, 임계 치수(CD), 물질 두께, 물질의 단면적, 트렌치의 단면적, 측벽각, 차동폭, 사이트 결과, 및 사이트 번호 등의 항목으로 구성된다. 웨이퍼 데이터는 CD 측정 플래그, 측정 사이트의 수, 레시피 결과, 좌표 X 및 좌표 Y 등의 항목으로 구성된다. 처리 전 데이터는 피드포워드 제어를 위해 사용될 수 있고, 처리 후 데이터는 피드백 제어를 위해 사용될 수 있다. 또한, 데이터는 일부 업무 규칙에 따라 제어 웨이퍼에 대한 통계 값으로서 요약될 수 있다.
처리 전 데이터 요소(305)는 데이터가 처리 전 데이터 요소(305)에 의해 처리될 때 알람 데이터를 발생할 수 있다. 결함 관리 시스템(390)은 처리 전 데이터 요소(305)로부터 알람 데이터를 수신할 수 있고 알람 데이터를 사용하여 결함 조건을 선언할 수 있다. 결함 관리 시스템은 결함 메시지를 처리 전 데이터 요소(305)에 전송할 수 있고, 처리 전 데이터 요소(305)는 하나 이상의 소프트웨어 애플리케이션을 중지시킴으로써, 데이터를 저장함으로써, 하나 이상의 소프트웨어 애플리케이션을 리세팅함으로써, 및 하나 이상의 알람의 클리어를 시도함으로써 결함 메시지에 응답할 수 있다.
데이터 필터 요소(310)는 입력 데이터, 출력 데이터, 처리된 데이터, 이력 데이터, 툴/모듈 데이터 및/또는 알람 데이터의 필터링과 같은 기능들을 포함할 수 있다. 일 실시예에서, EWMA 필터가 사용될 수 있다. 예를 들면, 데이터 필터 요 소(310)는 통계적으로 유효하지 않은 예외점을 제거할 수 있는 예외점 제거 필터를 포함할 수 있다. 다시 말해서, 신뢰할 수 없는 데이터는 버려질 수 있고 계산에서 고려되지 않는다. 업무 규칙은 필터링된 데이터의 신뢰성을 보장하기 위해 필터링 처리에서 사용될 수 있다. 또한, 업무 규칙은 FDC 시스템이 필터링되지 않은 데이터 및 필터링된 데이터를 처리하는 법을 결정하기 위해 사용될 수 있다. FDC 시스템 규칙은 어떤 데이터가 필터링가능한 데이터인지, 어떤 데이터가 아웃라이어 데이터인지, 및 어떤 데이터가 알람 조건을 표시하는지를 결정하기 위해 사용될 수 있다.
데이터 프로세싱 애플리케이션은 각 로트로부터 첫번째 웨이퍼로부터의 데이터, 로트 내의 각 웨이퍼로부터의 데이터, 웨이퍼 평균 데이터, 로트 평균 데이터, 또는 습식 클리닝 주기 데이터로 업데이트될 수 있다. 또한, 규칙들은 챔버 벽에 증착됨으로써 야기된 OES 데이터의 변화를 보상하도록 확립될 수 있다.
데이터 필터 요소(310)는 데이터를 필터링할 때 알람을 발생할 수 있다. 결함 관리 시스템(390)은 데이터 필터 요소(310)로부터 오류 데이터를 수신하고 그 오류 데이터를 이용하여 알람 조건을 선언할 수 있다. 결함 관리 시스템은 결함 메시지를 데이터 필터 요소(310)에 전송할 수 있고, 데이터 필터 요소(310)는 하나 이상의 소프트웨어 애플리케이션을 중지시킴으로써, 데이터를 저장함으로써, 하나 이상의 소프트웨어 애플리케이션을 리세팅함으로써, 및 하나 이상의 알람의 클리어를 시도함으로써 결함 메시지에 응답할 수 있다. 예를 들면, 필터링 한계는 알람 및/또는 결함 조건에 응답하여 변경될 수 있다.
목표 데이터 요소(315)는 희망 처리 결과 데이터를 수신하고, 처리 결과 데이터를 검증하고, 희망 처리 결과 데이터를 저장하고 희망 처리 결과 데이터를 스케일링하는 것과 같은 기능들을 포함할 수 있다. 목표 데이터는 입력 데이터, 출력 데이터, 처리된 데이터, 이력 데이터, 툴/모듈 데이터 및 알람 데이터를 포함할 수 있다. 예를 들면, 데이터는 전처리 및 후처리 계측 데이터를 포함할 수 있고, 계측 데이터는 사이트 측정 데이터와 웨이퍼 데이터를 포함할 수 있다. 또한, 데이터는 데이터를 검증 및/또는 스케일하기 위해 사용되는 호스트 시스템으로부터의 규칙 데이터를 포함할 수 있다.
목표 데이터 요소(315)는 데이터가 수신되지 않고/않거나 검증되지 않은 때 알람 데이터를 발생할 수 있다. 결함 관리 시스템(390)은 목표 데이터 요소(315)로부터 알람 데이터를 수신할 수 있고 이 알람 데이터를 이용하여 결함 조건을 선언할 수 있다. 결함 관리 시스템은 결함 메시지를 목표 데이터 요소(315)에 보낼 수 있고, 목표 데이터 요소(315)는 하나 이상의 소프트웨어 애플리케이션을 중지시킴으로써, 데이터를 저장함으로써, 하나 이상의 소프트웨어 애플리케이션을 리세팅함으로써, 및 하나 이상의 알람의 클리어를 시도함으로써 결함 메시지에 응답할 수 있다. 예를 들면, 목표 데이터 요소(315)는 데이터를 재전송하기 위해 데이터 전송기(data sender)를 요구할 수 있다.
일 실시예에서, 목표 데이터는 목표 CD 데이터일 수 있다. 목표 CD 데이터는 웨이퍼상의 하나 이상의 위치에 위치된 하나 이상의 CD에 적용할 수 있다. 위치 데이터, 크기 데이터 및 한계 데이터는 웨이퍼 상의 각 측정 사이트에 제공될 수 있 다. 예를 들면, 측정 사이트는 미리 알려져 있고, 목표 CD 데이터와 일치한다. 다른 실시예에서, 목표 데이터는 깊이, 측벽각 또는 두께일 수 있다.
목표 데이터의 소스는 미리 식별될 수 있다. 예를 들면, 데이터 소스는 호스트 또는 공장 소스와 같은 외부 데이터 소스, 또는 프로세싱 툴과 관련된 내부 측정 장치와 같은 내부 소스일 수 있다. 외부 또는 내부 소스로부터의 데이터를 검증 및/또는 스케일링하기 위한 규칙들은 다를 수 있다. GUI 화면은 목표 데이터 요소(315)와 관련된 프로세스를 보기 위해 제공될 수 있다.
목표 계산 요소(320)는 목표 계산을 수행한다. 예를 들면, 목표 계산은 데이터 소스 항목과 동일하게 설정될 수 있다. 대안적으로, 하나의 데이터 집합을 다른 데이터 집합과 상관시키는 방정식이 입력될 수 있다. 또한, 목표 계산은 추가적인 보상 항(term)을 포함할 수 있다. 예를 들면, 추가적인 보상 계수는 포토 레지스트 단계와 같은 다른 단계에서 유입된 오류를 정정하기 위해 사용될 수 있다. 새로운 목표값은 런타임시에 또는 런타임 전에 계산된 변수일 수 있고, 방정식은 목표값을 계산하기 위해 사용될 수 있다.
처리 결과 계산 요소(350)는 피드포워드 데이터를 수신하고 피드포워드 데이터를 검증하는 것과 같은 기능들을 포함할 수 있다. 피드포워드 데이터는 입력 데이터, 출력 데이터, 처리된 데이터, 이력 데이터, 툴/모듈 데이터 및 알람 데이터를 포함할 수 있다. 예를 들면, 데이터는 전처리 및 후처리 계측 데이터를 포함할 수 있고, 계측 데이터는 사이트 측정 데이터 및 웨이퍼 데이터를 포함할 수 있다. 또한, 데이터는 데이터를 검증 및/또는 스케일하기 위해 사용되는 호스트 시스템으 로부터의 규칙 데이터를 포함할 수 있다.
처리 결과 계산 요소(350)는 목표 데이터를 수신하여 그 목표 데이터를 처리하는 기능, 희망 처리 결과 데이터를 저장하는 기능 및 희망 처리 결과 데이터를 스케일링하는 기능 등을 포함할 수 있다.
처리 결과 계산 요소(350)는 측정 데이터와 목표 데이터 간의 차이를 이용하여 희망 처리 결과를 결정할 수 있다. 또한, 처리 결과 계산 요소(350)는 희망 처리 결과를 결정하기 위해 호스트 시스템으로부터의 규칙 데이터를 사용할 수 있다.
처리 결과 계산 요소(350)는 계산 오류가 있을 때 또는 데이터가 수신되지 않은 때 알람 데이터를 발생할 수 있다. 결함 관리 시스템(390)은 처리 결과 계산 요소(350)로부터 알람 데이터를 수신할 수 있고 이 알람 데이터를 이용하여 결함 조건을 선언할 수 있다. 결함 관리 시스템은 처리 결과 계산 요소(350)에 결함 메시지를 보낼 수 있고, 처리 결과 계산 요소(350)는 하나 이상의 소프트웨어 애플리케이션을 중지시킴으로써, 데이터를 저장함으로써, 하나 이상의 소프트웨어 애플리케이션을 리세팅함으로써, 및 하나 이상의 알람의 클리어를 시도함으로써 결함 메시지에 응답할 수 있다. 예를 들면, 처리 결과 계산 요소(350)는 결과를 재계산할 수 있다.
일 실시예에서, 희망 처리 결과는 측정 데이터와 목표 CD 데이터 간의 차이일 수 있다. 희망 처리 결과는 각 웨이퍼에 대한 제어 대상 프로세스별로 정의되기 때문에, 희망 처리 결과는 또한 제어 대상 처리실/모듈에 의해 정의될 수 있다. 그러므로, 각각의 희망 처리 결과는 각각의 제어 전략/계획과 관련될 수 있다. 각 제 어 전략/계획의 희망 처리 결과값은 웨이퍼 또는 로트가 시작하기 전에 특정될 수 있다.
예를 들면, 목표 CD는 전처리 계측 데이터와 비교될 수 있다. 전처리 계측 데이터가 목표 CD보다 더 작으면 오류가 선언될 수 있다. 전처리 계측 데이터가 목표 CD와 대략 동일하면 "무효" 조건이 선언될 수 있다. 전처리 계측 데이터가 목표 CD 보다 더 크면 트림량(trim amount)이 확립될 수 있다. 처리 중에 제거될 트림량은 만일 트림량과 레시피 매개변수 간의 관계를 포함하는 프로세스 모델이 검증되었으면 희망 결과로서 간주될 수 있다.
모델 요소(325)는 프로세스 모델 발생, 프로세스 모델 검증, 프로세스 모델 업데이트 및 프로세스 모델을 이용한 시뮬레이션과 같은 기능들을 포함할 수 있다. 또한, 데이터는 프로세스 모델을 발생, 검증 및/또는 업데이트하기 위해 사용되는 호스트 시스템으로부터의 규칙 데이터를 포함할 수 있다.
프로세스 모델은 희망 결과를 달성하기 위해 필요한 처리 변수와 희망 결과 사이의 검증된 관계를 나타낼 수 있다. 프로세스 모델은 2개의 유형, 즉 이론적 유형 또는 경험적 유형으로서 분류될 수 있다. 경험적 모델은 공식 기반(formula-based) 모델 및/또는 테이블 기반 모델일 수 있다. 예를 들면, 공식 기반 모델은 일부 평가된 실험 데이터에 기초를 둔 제약(constraint)이 있는 회귀 방정식(regression equation)을 이용할 수 있다. 공식 기반 모델은 일부 평가된 실험 데이터에 기초를 둔 레시피 변수가 있는 결과들을 이용하여 연속적으로 업데이트될 수 있다. 공식 기반 모델은 잡음없는 윤활 모델(smoothing model)로서 간주될 수 있다. 테이블 기반 모델은 일부 평가된 실험 데이터에 기초를 둔 레시피 변수를 가진 희망 결과의 불연속 관계를 포함한 테이블을 이용할 수 있다. 테이블 기반 모델은 잡음이 최소화된 최적화 모델로서 간주될 수 있다.
프로세스 모델은 선형 또는 비선형일 수 있다. 비선형 프로세스가 일부 각각의 제한된 공간에서 일부 선형 프로세스의 조합으로 표시될 수 있을 때, 비선형 프로세스는 각 공간의 일부 제약에 대하여 일부 제한된 선형 모델로서 구현될 수 있다. 또한, 최적 모델은 하나 이상의 다른 챔버 상태에 대하여 생성될 수 있고, 모델 최적화기 애플리케이션은 시간에 따라 변화하는 챔버 특성에 기초하여 모델을 업데이트하기 위해 사용될 수 있다.
프로세스 제어기 요소(330)는 데이터를 수신하고, 레시피 매개변수를 결정하고 예측된 결과 데이터를 계산하는 것과 같은 기능들을 포함할 수 있다. 수신된 데이터는 입력 데이터, 출력 데이터, 처리된 데이터, 이력 데이터, 툴/모듈 데이터, 알람 데이터, 희망 처리 결과 데이터 및 모델 데이터를 포함할 수 있다. 또한, 데이터는 레시피 매개변수를 결정하기 위해 사용되는 호스트 시스템으로부터의 규칙 데이터를 포함할 수 있다.
프로세스 제어기 요소(330)는 목표 데이터를 수신하여 그 목표 데이터를 처리하고, 희망 처리 결과 데이터를 저장하고, 희망 처리 결과 데이터를 스케일링하는 것과 같은 기능들을 포함할 수 있다.
프로세스 제어기 요소(330)는 측정 데이터와 목표 데이터 간의 차이를 이용하여 희망 처리 결과를 결정할 수 있다. 또한, 프로세스 제어기 요소(330)는 호스 트 시스템으로부터의 규칙 데이터를 이용하여 희망 처리 결과를 결정할 수 있다.
프로세스 제어기 요소(330)는 계산 오류가 있을 때 또는 데이터가 수신되지 않은 때 알람 데이터를 발생할 수 있다. 결함 관리 시스템(390)은 프로세스 제어기 요소(330)로부터 알람 데이터를 수신할 수 있고 이 알람 데이터를 사용하여 결함 조건을 선언할 수 있다. 결함 관리 시스템은 프로세스 제어기 요소(330)에 결함 메시지를 보낼 수 있고, 프로세스 제어기 요소(330)는 하나 이상의 소프트웨어 애플리케이션을 중지시킴으로써, 데이터를 저장함으로써, 하나 이상의 소프트웨어 애플리케이션을 리세팅함으로써, 및 하나 이상의 알람의 클리어를 시도함으로써 결함 메시지에 응답할 수 있다. 예를 들면, 프로세스 제어기 요소(330)는 결과를 재계산할 수 있다.
프로세스 제어기(330)는 프로세스 모델을 관리하고 레시피 매개변수를 해결하기 위한 최적합(best-fit) 모델을 선택하는 프로세스 모델 관리자로서 사용될 수 있다. 프로세스 제어기(330)는 최적합 프로세스 모델 및 모델 제약에 따라 레시피 매개변수를 생성하는 레시피 매개변수 솔버(solver)로서 사용될 수 있다. 또한, 프로세스 제어기(330)는 프로세스 트렌드 입력에 따라 능동(active) 프로세스 모델을 업데이트 또는 조정하는 프로세스 모델 최적화기로서 사용될 수 있다.
프로세스 제어기(330)는 희망 처리 결과 입력을 사용하고 그 입력을 프로세스 모델 및 제약에 따라 가능한/도달가능한/예측된 처리 결과로서 분해(resolve)할 수 있다. 또한, 프로세스 제어기(330)는 제약이 있는 다층 프로세스 모델을 관리하고 처리 프로세스 트렌드 입력에 따라 능동 프로세스 모델을 업데이트하기 위해 사 용될 수 있다.
프로세스 제어기(330)는 계산 오류가 있을 때 또는 데이터가 수신되지 않은 때 알람 데이터를 발생할 수 있다. 결함 관리 시스템(390)은 프로세스 제어기(330)로부터 알람 데이터를 수신할 수 있고 이 알람 데이터를 사용하여 결함 조건을 선언할 수 있다. 결함 관리 시스템은 프로세스 제어기(330)에 결함 메시지를 보낼 수 있고, 프로세스 제어기(330)는 하나 이상의 소프트웨어 애플리케이션을 중지시킴으로써, 데이터를 저장함으로써, 하나 이상의 소프트웨어 애플리케이션을 리세팅함으로써, 및 하나 이상의 알람의 클리어를 시도함으로써 결함 메시지에 응답할 수 있다. 예를 들면, 프로세스 제어기(330)는 레시피를 재계산할 수 있다.
레시피 매개변수는 레시피 설정값(제어 변수)을 포함할 수 있고, 상기 설정값은 프로세스 레시피와 합체하고 웨이퍼를 처리하기 위해 프로세스 제어기(330)로부터 프로세스 모듈(335)로 보내질 수 있다. 하나의 경우에, 명목 레시피(nominal recipe)가 사용될 수 있고, 명목 레시피는 수정 전의 프로세스 레시피일 수 있다. 예를 들면, 명목 레시피는 또한 챔버 상태에 관계없이 측정 명목 입력 및 희망 결과 출력에 대한 정보를 내포하는, 관련 제어 레시피 또는 제어 모델에 대한 베이스 기준 레시피일 수 있다.
프로세스 제어기(330) 또는 프로세스 모듈(335)이 제어 장애를 검출한 때, 알람이 발생할 수 있다. 예를 들면, 레시피 선택 장애, 레시피 수신 타임아웃, 통합 통신 장애 및 동기화 장애는 알람을 발생시키는 원인이 될 수 있다. 제어 장애가 발생하면, 알람은 결함 관리 시스템(390)에 보내질 수 있고, 결함 관리 시스 템(390)은 응답을 결정할 수 있다. 응답은 툴 프로세스 레시피를 사용하는 것; 처리 없이 바이패스하는 것; 프로세스 제어기 절차를 정지시키는 것; 프로세스 모듈 처리를 정지시키고 웨이퍼의 처리를 계속하는 것; 및 로트의 처리를 계속하는 것을 포함할 수 있다.
웨이퍼는 프로세스 제어기(330)에 의해 결정된 레시피 매개변수를 이용하여 처리될 수 있다. 프로세스 모듈(335)은 에칭 모듈, 증착 모듈, 또는 측정 모듈, 또는 이들의 2개 이상의 조합일 수 있다. 예를 들면, 프로세스 모듈(335)은 R2R 제어하에 있는 에칭 처리실 또는 수 개의 에칭 처리실을 가진 에칭 프로세스 툴일 수 있다. 에칭 처리는 유지 관리 주기와 챔버 상태에 의존하는 프로세스 시프트 및 드리프트의 특성을 내포한다. 대안적으로, 트리밍 절차는 COR 모듈, PHT 모듈 및 적어도 하나의 버퍼 모듈을 포함하는 프로세싱 서브시스템(프로세스 십(process ship))을 이용하여 수행될 수 있다.
결함 관리 시스템(390)은 피드포워드 오류 및 피드백 오류를 둘 다 처리할 수 있다. 피드포워드 오류는 하나 이상의 피드포워드 요소(301)에서 발생할 수 있다. 피드포워드 요소(301)는 데이터를 수집하고, 데이터를 처리하고, 데이터를 희망 결과와 비교하고, 희망 결과가 달성되지 않을 때 오류를 선언할 수 있다. 결함 관리 시스템(390)은 피드포워드 오류를 검사할 수 있고 하나 이상의 피드포워드 오류를 이용하여 결함을 분류할 수 있다. 심각도 수준(severity level)은 다른 피드포워드 오류 또는 피드포워드 오류의 그룹에 대하여 확립될 수 있다. 피드백 오류는 하나 이상의 피드백 요소(302)에서 발생할 수 있다. 피드백 요소(302)는 데이터 를 수집하고, 데이터를 처리하고, 데이터를 희망 결과와 비교하고, 희망 결과가 달성되지 않을 때 오류를 선언할 수 있다. 결함 관리 시스템(390)은 피드백 오류를 검사할 수 있고 하나 이상의 피드백 오류를 이용하여 결함을 분류할 수 있다. 심각도 수준은 다른 피드백 오류 또는 피드백 오류의 그룹에 대하여 확립될 수 있다. 또한, 결함 관리 시스템(390)은 피드포워드 오류 및 피드백 오류를 검사할 수 있고 피드포워드 오류와 피드백 오류의 조합을 이용하여 결함을 분류할 수 있다. 예를 들면, 심각도 수준은 로그 수준, 통지 수준, 통지 및 일시중지 수준, 그리고 통지 및 정지 수준을 포함할 수 있다.
처리 후 데이터 요소(340)는 데이터를 발생하고, 데이터를 수신하고, 데이터를 처리하고, 데이터를 저장하고 데이터를 전송하는 기능 등을 포함할 수 있다. 데이터는 입력 데이터, 출력 데이터, 처리된 데이터, 이력 데이터, 툴/모듈 데이터 및 알람 데이터를 포함할 수 있다. 예를 들면, 발생된 데이터는 후처리 계측 데이터를 포함할 수 있고, 계측 데이터는 사이트 측정 데이터 및 웨이퍼 데이터를 포함할 수 있다. 사이트 측정 데이터는 적합도(GOF), 격자 두께, 임계 치수(CD), 물질 두께, 물질의 단면적, 트렌치의 단면적, 측벽각, 차동폭, 사이트 결과, 및 사이트 번호 등의 항목으로 구성된다. 웨이퍼 데이터는 CD 측정 플래그, 측정 사이트의 수, 레시피 결과, 좌표 X 및 좌표 Y 등의 항목으로 구성된다. 처리 후 데이터는 피드백 제어를 위해 사용될 수 있고, 피드백 처리는 업무 규칙을 이용하여 제어될 수 있다.
처리 후 데이터 요소(340)는 데이터가 처리 후 데이터 요소(340)에 의해 발 생 및/또는 처리될 때 알람 데이터를 발생할 수 있다. 결함 관리 시스템(390)은 처리 후 데이터 요소(340)로부터 알람 데이터를 수신할 수 있고 이 알람 데이터를 사용하여 결함 조건을 선언할 수 있다. 결함 관리 시스템은 결함 메시지를 처리 후 데이터 요소(340)에 전송할 수 있고, 처리 후 데이터 요소(340)는 하나 이상의 소프트웨어 애플리케이션을 중지시킴으로써, 데이터를 재발생함으로써, 데이터를 저장함으로써, 데이터를 재처리함으로써, 하나 이상의 소프트웨어 애플리케이션을 리세팅함으로써, 및 하나 이상의 알람의 클리어를 시도함으로써 결함 메시지에 응답할 수 있다.
데이터 필터 요소(345)는 후처리된 데이터, 및/또는 이력 데이터를 필터링하는 것과 같은 기능들을 포함할 수 있다. 일 실시예에서, EWMA 필터가 사용될 수 있다. 예를 들면, 데이터 필터 요소(345)는 통계적으로 유효하지 않은 아웃라이어를 제거할 수 있는 예외점 제거 필터를 포함할 수 있다. 신뢰할 수 없는 데이터는 계산에서 사용되지 않는다. 업무 규칙은 필터링된 데이터의 신뢰성을 보장하기 위해 필터링 처리에서 사용될 수 있다. 또한, 업무 규칙은 FDC 시스템이 필터링되지 않은 데이터 및 필터링된 데이터를 처리하는 법을 결정하기 위해 사용될 수 있다. FDC 시스템 규칙은 어떤 데이터가 필터링가능한 데이터인지, 어떤 데이터가 아웃라이어 데이터인지, 및 어떤 데이터가 알람 조건을 표시하는지를 결정하기 위해 사용될 수 있다.
데이터 후처리 애플리케이션은 각 로트로부터 첫번째 웨이퍼로부터의 데이터, 로트 내의 각 웨이퍼로부터의 데이터, 웨이퍼 평균 데이터, 로트 평균 데이터, 또는 습식 클리닝 주기 데이터로 업데이트될 수 있다. 또한, 규칙들은 챔버 벽에 증착됨으로써 야기된 OES 데이터의 변화를 보상하도록 확립될 수 있다.
데이터 필터 요소(345)는 데이터를 필터링할 때 알람을 발생할 수 있다. 결함 관리 시스템(390)은 데이터 필터 요소(345)로부터 알람 데이터를 수신할 수 있고 이 알람 데이터를 사용하여 알람 조건을 선언할 수 있다. 결함 관리 시스템은 결함 메시지를 데이터 필터 요소(345)에 전송할 수 있고, 데이터 필터 요소(345)는 하나 이상의 소프트웨어 애플리케이션을 중지시킴으로써, 데이터를 저장함으로써, 하나 이상의 소프트웨어 애플리케이션을 리세팅함으로써, 및 하나 이상의 알람의 클리어를 시도함으로써 결함 메시지에 응답할 수 있다. 예를 들면, 필터링 한계는 알람 및/또는 결함 조건에 응답하여 변경될 수 있다.
실제 처리 결과 계산 요소(350)는 실제 처리 결과를 결정하는 것과 같은 기능들을 포함할 수 있다. 예를 들면, 측정된 목표 CD는 프로세스 또는 프로세스 단계로부터의 하나 이상의 실제 처리 결과일 수 있고, 또는 처리 중의 측정된 트림량은 실제 처리 결과로서 간주될 수 있다.
예측 결과 계산 요소(355)는 예측 처리 결과를 결정하는 것과 같은 기능들을 포함할 수 있다. 예를 들면, 입력 데이터와 프로세스 모델은 예측 처리 결과를 결정하기 위해 사용될 수 있다. 예측 처리 결과는 프로세스 드리프트 및 측정 오류와 같은 다른 오류원으로부터의 모델링 오류를 구분하기 위해 사용될 수 있다.
오차 계산 요소(360)는 예측된 결과와 실제 결과 사이의 옵셋, 이력 결과와 실제 결과 사이의 옵셋, 및/또는 희망 결과와 실제 결과 사이의 옵셋을 계산하기 위해 사용될 수 있다.
로트 평균 요소(365)는 EWMA 필터(370)에 대한 입력으로서 사용될 수 있는 로트 평균을 계산한다. 다른 실시예에서는 다른 평균이 사용될 수 있고, 상기 다른 평균들은 웨이퍼, 로트 및/또는 배치에 기초할 수 있다.
프로세스 오류는 EWMA 필터(370)에 대한 입력으로서 기능하고 필터링된 출력은 처리 결과의 정확도를 개선하기 위해 피드백으로서 프로세스에 적용된다.
실제 처리 결과의 정밀도는 제어기의 각종 장소에서 발생하는 랜덤 노이즈 소스의 영향을 받는다. 예를 들면, 측정된 데이터는 반복 샘플링시 가우시안 또는 "정상" 분포를 갖는 랜덤 노이즈의 영향을 받는다. 대안적으로, 바이모달(bi-modal)과 같은 다른 노이즈 분포를 사용할 수 있다. EWMA 필터는 피드백 루프로부터 랜덤 노이즈를 제거하여 제어기의 정밀도를 개선하기 위해 사용될 수 있다.
프로세스의 정확도는 프로세스, 프로세스 모델의 품질 및 프로세스의 계통 변화에 의해 유도되는 랜덤 잡음의 양 및 측정의 정밀도 모두에 의존한다. 2개 유형의 계통 변화, 즉 에처(etcher)의 유지 관리 주기동안 전형적인 저속 드리프트 및 클리닝 주기 후에 발생할 수 있는 프로세스의 급격한 점프가 이 시뮬레이션에 포함된다.
EWMA 필터(370)는 하기의 방정식으로 묘사될 수 있다.
Y(n) = μY(n-1) + (1-μ)X(n)
여기에서, X는 필터에 대한 입력이고 Y는 필터의 출력이다. μ의 값은 0과 1 사이의 값으로 억제되는데, 0은 필터링 영향이 없는 경우이고 1은 필터링 영향을 최대로 받는 경우이다. Y(0)의 값은 일반적으로 EWMA 출력의 명목값으로 설정된다. 제어기의 경우에, EWMA 필터의 입력이 오류 신호이면, 초기값은 0으로 설정될 수 있다.
피드백 루프의 주요 목적은 프로세스의 계통 변화를 보상함으로써 처리 결과의 정확도를 개선하는 것이다.
EWMA 필터링은 프로세스에서의 랜덤 노이즈를 감소시키기 위한 개념적으로 및 연산적으로 간단한 기술을 제공한다. 필터에 의해 적용된 필터링의 양은 0과 1의 값 사이에서 설정될 수 있는 상수 μ의 값에 의해 제어된다. EWMA 필터링 기술의 부작용은 프로세스에서의 계통 변화에 대해 제어기의 응답을 지연시킨다는 것이다.
EWMA(370)는 랜덤 노이즈 소스의 필터링과 계통 프로세스 변화에 대한 응답 간의 절충안(compromise)을 제공할 수 있다. EWMA 필터링은 일정한 드리프트의 존재하에 피드백 루프에서 사용될 때 프로세스에서 바이어스를 유도한다. 계수 μ는 랜덤 노이즈 소스의 크기와 프로세스에서 변화의 크기에 따라 조정될 수 있다.
EWMA 필터(370)의 출력은 처리 결과 계산 요소(350)에 제공될 수 있다. 처리 결과 계산 요소(350)는 나중의 계산을 수행할 때 상기 피드백 데이터를 사용할 수 있다. 데이터는 웨이퍼 대 웨이퍼 시상수 또는 로트 대 로트 시상수에서 피드백될 수 있다.
EWMA 필터(370)의 출력은 옵셋일 수 있고, 옵셋은 프로세스 오류의 양의 추정치(estimate)일 수 있다. 옵셋은 프로세스 트렌드를 나타낼 수 있고 프로세스 모 델 및 레시피 매개변수를 최적화하기 위해 사용될 수 있다.
제어기의 성능은 피드백 루프에서 나타나는 잔류 오류의 평균 및 표준 편차에 의해 표시될 수 있다.
결함 검출은 도 3에 도시된 것과 같은 프로세스의 동작을 직접적으로 또는 간접적으로 나타내는 매개변수의 집합을 주기적으로 측정함으로써 수행된다. 매개변수는 프로세스 레시피 설정점에 직접 관계될 수도 있고 프로세스 레시피 설정점에 의존할 수도 있다. 예를 들면, 전형적인 프로세스 모듈에서 챔버 압력은 프로세스 레시피 압력 설정점 및 측정된 프로세스 압력에 의해 표시될 수 있다. 결함 검출을 위해, 5% 이하의 프로세스 한계를 설정하는 것이 합리적이고, 매개변수가 프로세스 한계를 초과할 때 알람이 발생될 수 있고, FDC 시스템에 대해 확립된 업무 규칙에 따라 프로세스가 정지되거나 다른 행동이 취해질 수 있다.
제어 및/또는 분석 전략이 피드포워드 요소(301)와 관련되면, 그 전략은 피드포워드 FDC 계획을 포함할 수 있다. 예를 들면, 피드포워드 FDC 계획은 웨이퍼 인입(wafer-in) 이벤트, 프로세스 개시 이벤트 또는 레시피 개시 이벤트와 같은 개시 이벤트가 발생한 후에 실행될 수 있다. 제어 및/또는 분석 전략이 피드백 요소(302)와 관련되면, 그 전략은 피드백 FDC 계획을 포함할 수 있다. 예를 들면, 피드백 FDC 계획은 웨이퍼 배출(wafer-out) 이벤트, 프로세스 정지 이벤트 또는 레시피 정지 이벤트와 같은 정지 이벤트가 발생한 후에 실행될 수 있다. 계획 실행은 규칙 기반이고 SQL 구문(statement)을 포함할 수 있다. 피드포워드 FDC 계획과 피드백 FDC 계획은 FDC 시스템의 일부일 수 있다.
피드포워드 FDC 계획에서 오류 및/또는 알람이 검출되면, 제1 수준의 알람 메시지가 중재 관리자에 보내지고 중재 관리자는 제1 수준의 알람 메시지를 처리할 수 있다. 피드백 FDC 계획에서 오류 및/또는 알람이 검출되면, 제2 수준의 알람 메시지가 중재 관리자에 보내지고 중재 관리자는 제2 수준의 알람 메시지를 처리할 수 있다.
피드포워드 FDC 계획과 피드백 FDC 계획은 독립적으로 동작할 수 있다. 각 FDC 계획은 다른 FDC 계획의 행동들을 알 필요가 없다. 결국, 행동들에는 약간의 리던던시 또는 불일치가 있을 수 있고, 중재 관리자를 이용하여 임의의 문제점들을 해결할 수 있다.
프로세스를 제어하기 위해 R2R 제어기 등의 제어기에서 사용되는 프로세스 레시피 매개변수 설정점과 처리 결과 간의 관계는 프로세스 모델에 의해 주어진다. 프로세스 모델은 1차, 2차, 또는 완전 2차(full quadratic)일 수 있다.
FDC 모델을 구축하기 위해 필요한 데이터 획득은 DOE가 프로세스 모델을 구축하기 위해 필요한 데이터를 수집하기 위해 실행되는 것과 동시에 수행될 수 있다. 트레이닝 세트라고 부르는 FDC 모델을 구축하기 위해 필요한 데이터는 프로세스 레시피 설정점 및 결과 의존 매개변수를 포함한다. 통상적으로, DOE가 제어기의 허용가능한 제어 범위까지 미치기 때문에, 결과적인 트레이닝 세트는 제어기가 동작하는 런(run)을 포함하는 후속 프로세스 런으로부터 기대되는 데이터의 범위까지 또한 미칠 것이다.
트레이닝 세트가 PLS의 기술을 이용하여 분석되었고 FDC 모델이 얻어지면, 모델은 FDC 시스템으로 전송된다. FDC 모델은 제어기가 인입 재료의 콘텍스트에 기초하여 동작할 때 런하도록 기동될 수 있다. FDC 모델과 프로세스 레시피는 메모리의 데이터베이스에 저장될 수 있다.
FDC 모델과 프로세스 레시피는 동적이고 프로세스를 통해 업데이트될 수 있다. 이력 및 업데이트된 FDC 모델과 프로세스 레시피는 둘 다 메모리의 데이터베이스에 저장될 수 있다. R2R 제어기는 프로세스가 수행되기 전, 수행되는 동안, 또는 수행된 후에 레시피 설정점을 변경할 수 있고, 레시피 설정점은 FDC 모델에 입력될 수 있다. 설정점의 수정은 FDC 모델의 유효성에 영향을 줄 수 있다. 일 실시예에서, FDC 모델은 유효성을 유지하기 위해 설정점 주변에서 자동으로 정상화될 수 있다. 예를 들면, 실제 설정점 대 명목 설정점의 비율로서 정의되는 정상화 FDC 모델을 사용할 수 있다.
도 4는 본 발명의 실시예에 따른 FDC 시스템과 R2R 제어기의 간단한 흐름도를 도시한 것이다. 도시된 실시예에서, FDC 프로세스(400)는 R2R 제어기가 존재하는 것으로 도시되어 있다.
도 4를 참조하면, R2R 제어기(410)는 R2R 제어 모델(405)을 이용하여 레시피 매개변수(415)를 조정하고, 레시피 매개변수는 프로세스 모듈(420) 및 프로세스 모델 계산 엔진(425)에 전송된다. 프로세스 모델 계산 엔진(425)은 FDC 모델(430)과 챔버 및 웨이퍼 상태(435)를 이용하여 예측 의존 프로세스 매개변수(440)의 벡터를 계산한다. 레시피 매개변수(415)는 프로세스 모듈(420)에 의해 사용되고, 프로세스 모듈(420)은 웨이퍼의 처리 중에 측정 의존 프로세스 매개변수(445)의 벡터를 생성 한다. 측정 의존 프로세스 매개변수(445)는 차이 계산 엔진(450)에 의해 예측 프로세스 매개변수(440)와 비교되어 처리가 정상인지(미리 알려진 양호한 프로세스 런과 유사함) 또는 비정상인지(미리 알려진 양호한 프로세스 런과 유사하지 않음)를 표시하는 단일 스칼라 매개변수를 생성한다. 예를 들면, 만일 프로세스 런이 알려진 양호한 프로세스 런과 동일하면 값은 0이고, 만일 프로세스가 여유가 있고 프로세스가 결함 조건에 있을 때보다 더 크면 값은 1이 될 수 있다. 블록 번호 455에서는 차이가 특정된 허용치 내에 있는지 판정하기 위한 질의가 수행된다. 차이가 특정 허용치 내에 있으면, 프로세스는 460으로 분기하여 다음 웨이퍼가 처리된다. 차이가 특정 허용치 내에 있지 않으면, 프로세스는 465로 분기하고 알람 검출 프로세스(465)가 수행된다.
알람 검출 프로세스(465)에서, FDC 시스템은 알람을 검사하여 결함 조건이 발생한 때를 결정한다. 반도체 처리 시스템이 동작할 때 다수의 상이한 알람/결함 조건이 발생할 수 있다. FDC 시스템은 특수 시점에서 알람/결함 조건의 집합이 활성인지를 검사하여 결함 분류를 수행할 수 있다.
FDC 시스템은 알람/결함 조건을 다수의 상이한 수준으로 분류할 수 있다. FDC 시스템은 어떤 알람/결함 조건이 임계인지, 어떤 알람/결함 조건이 정보성인지를 식별할 수 있다. 알람/결함 조건 생성기는 각 알람/결함 조건에 심각도 수준을 할당할 수 있고, 그 심각도 수준을 FDC 시스템에 보고할 수 있다. 예를 들면, 심각도 수준은 수준 1(임계성)부터 수준 10(정보성)까지의 범위에 있을 수 있고, FDC 시스템은 이전에 할당된 심각도 수준을 변경할 수 있다.
FDC 시스템은 단일 알람/결함 조건 또는 수 개의 알람/결함 조건을 이용하여 특수 결함을 식별할 수 있다. 예를 들면, 일부 결함 분류는 SPC 챠트로부터의 수 개의 결과를 이용하여 확립될 수 있다. 결함 분류 원인 스프레드시트는 챠트로부터의 칼럼 및 예보 원인 칼럼(forecasted cause column)으로 구성된 테이블/매트릭스를 포함할 수 있다. 또한, 결함은 스코어 플롯(score plot) 상의 위치에 의해 또는 기여 플롯(contribution plot) 상의 패턴에 의해 분류될 수 있다.
프로세싱 모듈로부터 알람/결함 조건이 수신된 때, FDC 시스템은 프로세싱 모듈이 하나 이상의 프로세스 재시도(re-try)를 실행하게 할 수 있다. 프로세스 재시도는 일반적으로 처리 순서의 조기 단계에서 실행될 수 있다. 예를 들면, 안정화 단계 또는 초기화 단계 중에, 프로세스는 재시도를 실행하는 프로세스 단계의 시작으로부터 다시 시작(resume)할 수 있다. 또한, 재시도 후의 데이터는 그 전의 데이터에 결부되고, 이것은 알람 조건을 나중에 검사할 수 있게 한다. 더 나아가, 알람은 프로세스 재시도 중에 발생될 수 있다.
알람/결함 조건이 프로세싱 모듈로부터 수신된 때, FDC 시스템은 하나 이상의 테이블 및/또는 매트릭스를 이용하여 무엇이 알람 및/또는 결함의 가장 유력한 원인인지를 결정할 수 있다. 테이블은 알람 및/또는 결함 조건의 원인 및/또는 해법을 포함할 수 있다. 일단 알람 및/또는 결함 조건이 발생되면, 사용자들 중 한 명 이상이 알람/결함을 인식할 수 있다. 이것은 사용자가 알람/결함 조건을 안다는 의미이다.
결함 조건이 선언되면, FDC 시스템은 통지 및/또는 중재를 수행할 수 있다. 통지는 이메일, 페이저, 셀폰, 또는 기타의 무선 장치를 통하여 행하여질 수 있다. 통지는 사람별로, 날짜별로 그리고 시간별로 구성될 수 있다. 예를 들면, 하나 이상의 GUI 화면(도시 생략됨)이 툴 운용자, 워크스테이션의 프로세스 엔지니어 및 호스트 모니터에게 제공될 수 있다. GUI 디스플레이는 일시중지 프로세스 중에 웨이퍼의 위치를 보여주고 일시중지되지 않은 다른 프로세싱 모듈에 있는 다른 웨이퍼의 현재 프로세스 위치를 보여줄 수 있다.
FDC 시스템에 의해 보내진 하나 이상의 결함 메시지는 결함 시스템 식별자를 내포할 수 있다. 예를 들면, 필드 엔지니어는 오류의 가장 유력한 원인이 무엇인지를 결정하기 위한 결함 시스템 식별자를 내포할 수 있다. 데이터베이스는 결함 시스템 식별자에 대한 필드를 가질 것이다. 알람 로그는 결함 시스템 식별자, 날짜/시간, 알람 수준, 수신자, 툴, 프로세스 모듈, 및/또는 알람 메시지를 이용하여 분류될 수 있다.
또한, FDC 시스템은 현재 로트의 끝에서 프로세싱 툴을 일시중지시키고, 현재 웨이퍼의 끝에서 프로세싱 툴을 일시중지시키고, 현재 로트의 끝에서 프로세싱 모듈을 일시중지시키고, 현재 웨이퍼의 끝에서 프로세싱 모듈을 일시중지시키고, 다른 툴로 웨이퍼/로트를 리라우트하고, 다른 모듈로 웨이퍼/로트를 리라우트하는 것 중 적어도 하나를 포함하는 중재를 수행할 수 있다.
프로세스를 제어하기 위해 R2R 제어기(410)에 의해 사용되는 프로세스 레시피 매개변수와 처리 결과 간의 관계는 R2R 제어 모델(405)에 의해 주어진다. R2R 제어 모델(405)을 생성하기 위해 필요한 단계들은 적당한 프로세스 모델의 선택(예 를 들면, 1차, 2차, 완전 2차), 프로세스 제어에 사용되는 프로세스 매개변수의 선택, 적당한 실험 설계(DOE)의 선택, 실험의 실행, 모델을 생성하기 위한 데이터의 분석 및 R2R 제어기에 모델의 설치를 포함한다.
인제니오 시스템과 같은 통합 APC/FDC 시스템에서, FDC 모델(430)을 구축하기 위해 필요한 데이터 획득은 DOE가 R2R 제어 모델(405)을 구축하기 위해 필요한 데이터의 수집을 실행하는 것과 동시에 수행될 수 있다. 예를 들면, 자동화 DOE 프로세스가 수행될 수 있다. 트레이닝 세트라고 부르는 FDC 모델(430)을 구축하기 위해 필요한 데이터는 프로세스 레시피 매개변수 및 결과 의존 매개변수를 포함한다. 통상적으로, DOE가 R2R 제어기(410)의 허용가능한 제어 범위까지 미치기 때문에, 결과적인 트레이닝 세트는 R2R 제어기(410)가 동작하는 런을 포함하는 후속 프로세스 런으로부터 기대되는 데이터의 범위까지 또한 미칠 것이다.
트레이닝 세트가 PLS의 기술을 이용하여 분석되었고 FDC 모델이 얻어지면, 모델은 통합 APC 시스템의 FDC 서브시스템으로 전송된다. FDC 모델은 R2R 제어기가 인입 재료의 콘텍스트에 기초하여 동작할 때 런하도록 기동될 수 있다.
본 발명의 하나의 태양은 고급 프로세스 제어(APC) 시스템에서 결함 검출 및 분류(FDC)를 구현하는 방법에 있어서, FDC 모델을 생성하는 단계와; FDC 모델을 프로세스 모델 계산 엔진에 제공하는 단계와; 프로세스 모델 계산 엔진을 이용하여 예측 의존 프로세스 매개변수의 벡터를 연산하는 단계와; 레시피 매개변수의 집합을 포함하는 프로세스 레시피를 확립하고 프로세스 레시피를 프로세스 모듈에 제공하는 단계와; 프로세스 레시피를 실행하여 측정 의존 프로세스 매개변수의 벡터를 생성하는 단계와; 예측 의존 프로세스 매개변수의 벡터와 측정 의존 프로세스 매개변수의 벡터 간의 차이를 계산하는 단계와; 상기 차이를 임계값과 비교하는 단계와; 상기 차이가 임계값보다 더 클 때 결함 상태를 선언하는 단계를 포함하는 FDC 구현 방법을 제공한다.
일 실시예에서, SPC 기술은 결함 조건을 선언하기 위해 사용될 수 있다. 또한, FDC 모델 엔진은 독립 프로세스 매개변수, 처리실 상태, 또는 인입 웨이퍼 상태, 또는 이들의 조합을 이용하여 의존 프로세스 매개변수의 계산을 행할 수 있다.
프로세스 매개변수 입력을 희망 처리 결과에 관련시키기 위해 사용되는 R2R 제어 모델이 생성된 때, 자동화 DOE 프로세스가 사용될 수 있고, 의존 프로세스 매개변수를 독립 프로세스 매개변수에 관련시키기 위해 사용되는 FDC 모델이 동시에 생성될 수 있다.
R2R 제어기(410)는 희망 전처리 결과를 유지하도록 제어기를 조정하기 위해 사용되는 피드포워드부 및/또는 피드백부를 포함할 수 있다. 통합 계측 모듈(IMM)은 웨이퍼를 처리하기 전의 웨이퍼 상태(처리 전 상태), 및 웨이퍼를 처리한 후의 웨이퍼 상태(처리 후 상태)를 결정하기 위해 사용될 수 있다.
R2R 제어기가 피드백부를 포함할 때, EWMA 필터 기술은 피드백 옵셋을 계산하기 위해 사용될 수 있다. R2R 제어기가 피드백부를 포함할 때, 로트 평균은 평균 오차를 계산하기 위해 사용될 수 있다.
챔버 상태 정보는 OES 센서, 전압/전류(V/I) 프로브, 온도 센서, 압력 센서, 유량 센서, 또는 RF 센서, 플라즈마 밀도 센서, 라디컬 밀도 센서, 전자 에너지 센 서, 이온 에너지 센서, RGA(잔류 가스 분석기) 또는 이들의 조합으로부터 얻어질 수 있다. 예를 들면, 프로세스 모델 계산 엔진(425)은 OES 센서, 전압/전류(V/I) 프로브, 온도 센서, 압력 센서, 유량 센서, 또는 RF 센서, RF 센서, 플라즈마 밀도 센서, 라디컬 밀도 센서, 전자 에너지 센서, 이온 에너지 센서, RGA(잔류 가스 분석기) 또는 이들의 조합을 이용하는 측정으로부터 야기된 의존 매개변수를 포함할 수 있다.
R2R 제어 모델(405)은 PLS 분석 기술, 또는 PCA 분석 기술, 또는 마하라노비스-타구치(Mahalanobis-Taguchi) 시스템, 또는 이들의 조합을 사용할 수 있다. 또한, FDC 모델(430)은 PLS 분석 기술, 또는 PCA 분석 기술, 또는 이들의 조합을 사용할 수 있다.
도 5는 본 발명의 실시예에 따른 반도체 처리 시스템에서 프로세싱 툴에 대한 결함 검출 및 분류(FDC) 프로세스를 수행하기 위한 간단한 흐름도를 도시한 것이다. FDC 소프트웨어와 관련 GUI 화면은 시스템의 하나 이상의 프로세싱 툴을 감시하기 위한 간단한 사용자 친화적 절차를 제공한다. 절차(500)는 반도체 처리 시스템의 프로세싱 툴에 의해 수행되는 각 생산 단계에 대해 수행될 수 있다. 대안적으로, 절차(500)는 반도체 처리 시스템의 프로세싱 모듈에 의해 수행되는 생산 단계의 집합에 대해 수행될 수 있다. 생산 단계는 에칭 프로세스, 증착 프로세스, 확산 프로세스, 클리닝 프로세스, 측정 프로세스, 전송 프로세스, 또는 다른 반도체 제조 프로세스일 수 있다.
블록 510에서, 시작 이벤트가 수신된다. 예를 들면, 프로세싱 툴/모듈 제어 기는 시작 이벤트를 APC 시스템에 전송할 수 있다. 대안적으로, 호스트 제어기 등의 다른 컴퓨터가 시작 이벤트를 전송할 수 있다. 시작 이벤트는 프로세스 또는 레시피 단계가 시작되는 시점이고 콘텍스트에 기초를 둘 수 있다. 예를 들면, 웨이퍼 인입, 레시피 시작, 프로세스 시작, 단계 시작, 모듈 시작, 및 툴 시작은 시작 이벤트일 수 있다. 또한, 시작 이벤트는 웨이퍼가 처리실에 들어갈 때 발생할 수 있다. 대안적으로, 시작 이벤트는 웨이퍼가 전송실에 들어갈 때 또는 웨이퍼가 처리 시스템에 들어갈 때 발생할 수 있다.
블록 515에서, 제어 전략이 프로세스 콘텍스트에 기초하여 결정된다. 프로세스 콘텍스트는 수행되는 생산 단계 및/또는 감시되는 챔버에 의존할 수 있다. 콘텍스트는 어떤 전략 및/또는 계획이 특수 프로세스 레시피에 대하여 실행되는지를 결정한다. 예를 들어서, 레시피가 콘텍스트 항 FDC을 내포하면, FDC 콘텍스트 항과 관련된 제어 전략은 프로세스 툴이 콘텍스트 항 (요소) FDC를 내포하는 임의의 레시피로 프로세스를 런할 때 실행될 수 있다.
런타임 중에, 시작 이벤트는 APC 시스템이 현재 콘텍스트 데이터를 조사하고, 어떤 전략이 콘텍스트와 정합하는지 결정하고, 어떤 계획이 런하는지를 결정하고, 그들의 대응하는 스크립트를 호출하게 한다. 제어 전략 기록은 웨이퍼 런, 툴, 챔버, 레시피, 슬롯 등과 같은 콘텍스트 정합 정보를 내포할 수 있다. 예를 들면, APC 시스템은 런타임 콘텍스트 정보를 비교하고 그것을 전략의 데이터베이스에 대하여 정합시키도록 시도할 수 있다. 각 제어 전략은 툴 id, 로트 id, 챔버 id, 카세트 id, 웨이퍼 id, 레시피 id, 시작 시간, 종료 시간, 단계 번호, 상태, 유지 관 리 카운터 값, 제품 id 및 물질 id 등의 콘텍스트 정보 중 적어도 일부를 내포할 수 있다. 프로세스 콘텍스트는 수행되는 프로세스 및 감시되는 툴에 의존할 수 있다. 콘텍스트 정합 프로세스에서, 검색 순서가 중요할 수 있다. 예를 들면, 검색은 GUI 테이블의 선행 순서(precedence order)를 이용함으로써 실행될 수 있다. 검색은 SQL 구문을 이용하여 구현될 수 있다. 일단 제어 전략이 식별되면, 제어 계획, 데이터 수집 계획, 및/또는 FDC 계획이 자동으로 결정될 수 있다. 계획 ID들은 "제어 전략 실행" 모듈에 보내질 수 있다. 만일 비교 프로세스 콘텍스트 기능이 수행될 때 정합 전략이 존재하지 않으면, 소프트웨어는 툴 상태 GUI 화면의 결함 필드에 오류/알람 메시지를 디스플레이하고, 사용자가 오류를 보정할 수 있게 하기 위해 팝업창이 사용될 수 있다.
콘텍스트는 콘텍스트 요소의 조합에 의해 정의될 수 있다. 예를 들면, 콘텍스트는 미리 정해진 순서의 콘텍스트 요소의 어레이일 수 있고, 또는 콘텍스트는 사전 형식의 이름값 쌍의 집합일 수 있다.
블록 520에서, 제어 전략과 관련된 계획들이 실행된다. 제어 계획, 데이터 수집 계획, 데이터 전처리 계획 및 FDC 계획 중의 적어도 하나가 실행될 수 있다. 또한, 판단 계획, 중재 계획, 센서 계획, 매개변수 선택 계획 및 트림 계획이 역시 실행될 수 있다.
고품질 제품을 생산하는 생산 런 중에 수집된 데이터는 "양호한 툴 상태" 데이터를 확립하기 위해 사용될 수 있고, 후속적으로 수집된 데이터는 이 베이스라인 데이터와 비교되어 툴이 실시간으로 정확히 수행하고 있는지를 결정할 수 있다.
제어 전략은 품질 관리(QC) 테스팅의 일부로서 툴 건전성(tool health) 상태를 결정하기 위해 확립될 수 있다. 제어 전략 및 그 관련 계획은 시스템 또는 프로세싱 툴과 같은 시스템의 일부가 적절하게 동작하도록 보장하기 위해 실행될 수 있다. 예를 들면, 툴 건전성 제어 전략 및 그 관련 계획은 규정된 시간에 또는 사용자가 시간을 예정한 경우에 실행될 수 있다. 툴 건전성 제어 전략 및 그 관련 계획이 실행되면, 진단 웨이퍼 데이터가 수집될 수 있다. 진단, 더미(dummy), 제품 또는 테스트 웨이퍼가 처리될 수 있고, 콘텍스트는 툴, 모듈, 또는 센서 진단일 수 있다.
제어 전략 및 그들의 관련 FDC 계획은 시즈닝(seasoning) 관련 프로세스 및 챔버 핑거프린팅 프로세스와 같은 프로세스 모듈 특성화 프로세스에 대해 확립되고 실행될 수 있다. 예를 들면, 클리닝 또는 유지 관리 프로세스(즉, 습식 클리닝) 후에, 다수의 더미 웨이퍼가 챔버 특성화 관련 전략, 계획 및 레시피를 이용하여 처리될 수 있다. 사용자는 시스템의 일부인 전략 및 계획을 사용할 수 있고, 또는 사용자는 APC 시스템을 이용하여 새로운 챔버 특성화 관련 제어 전략을 및 FDC 시스템을 이용하여 FDC 계획을 쉽고 신속하게 개발할 수 있다. 이 챔버 특성화 런으로부터의 데이터는 프로세스, 툴 및/또는 FDC 모델을 추가로 정제(refine)하기 위해 사용될 수 있다. 데이터는 최적 제어 전략, R2R 모델 및 FDC 모델을 식별하기 위한 분석을 위해 사용될 수 있다.
정적 및 동적 센서는 데이터 수집 계획이 실행될 때 셋업된다. 데이터 수집 계획은 센서 셋업 계획을 포함할 수 있다. 예를 들면, 센서의 시작 및 정지 시간은 센서 셋업 계획에 의해 결정될 수 있다. 동적 센서에서 필요로 하는 동적 변수는 센서 셋업 계획에 의해 결정될 수 있다. 레시피 시작 이벤트는 센서가 기록을 시작하도록 지시하기 위해 사용될 수 있다. 웨이퍼 인입 이벤트(wafer in event)는 센서를 셋업하기 위해 사용될 수 있다. 레시피 정지 이벤트 또는 웨이퍼 배출 이벤트는 센서가 기록을 정지하도록 지시하기 위해 사용될 수 있다.
수집된 데이터 및 사용되는 센서는 제어 전략 콘텍스트에 의존한다. 바람직하게, 제품 웨이퍼(product wafer) 및 비제품 웨이퍼(non-product wafer)에 대하여 다른 센서를 사용할 수 있고 다른 데이터가 수집될 수 있다. 예를 들면, 툴 상태 데이터는 제품 웨이퍼에 대하여 수집된 데이터의 소부분일 수 있고, 툴 상태 데이터는 비제품 웨이퍼에 대하여 수집된 데이터의 대부분일 수 있다.
데이터 수집 계획은 또한 기대 관측 매개변수가 스파이크 카운팅, 스텝 트리밍, 가치 임계 및 가치 클립 한계에 대하여 처리되는 법을 확립하는 데이터 전처리 계획을 포함한다.
데이터 전처리 계획이 실행될 때, 시계열 데이터가 미가공 데이터 파일로부터 생성되어 데이터베이스에 저장될 수 있고; 웨이퍼 요약 데이터가 시계열 데이터로부터 생성될 수 있으며; 로트 요약 데이터가 웨이퍼 데이터로부터 생성될 수 있다. 데이터 수집은 웨이퍼가 처리되는 동안 실행될 수 있다. 웨이퍼가 이 처리 단계에 있지 않을 때 데이터 전처리 계획이 실행될 수 있다.
데이터 수집 계획은 희망 데이터를 수집하기 위해 사용자가 구성하는 재사용가능 엔티티이다. 데이터 수집 계획은 하나 이상의 별도 프로세스 모듈에서 센서들 중 하나 이상의 구성으로 이루어진다. 계획은 또한 관련 센서에 의해 수집되어야 하는 데이터 항목의 선택을 포함하고, 데이터 항목 중의 어느 것이 저장되어야 하는지를 포함한다.
센서는 장치, 기구, 프로세싱 툴, 프로세스 모듈, 센서, 프로브, 또는 관측 데이터를 수집하거나 소프트웨어 셋업 상호 작용을 요구하고, 또는 마치 센서인 것처럼 시스템 소프트웨어에 의해 취급될 수 있는 다른 엔티티일 수 있다. 예를 들면, 프로세싱 툴과 프로세스 모듈은 데이터 수집 계획에서 마치 센서인 것처럼 취급될 수 있다.
동일 센서 유형의 몇가지 예가 툴에 동시에 설치될 수 있다. 사용자는 각각의 데이터 수집 계획에 사용하기 위해 특정 센서 또는 센서들을 선택할 수 있다.
시스템에서 수집된 데이터는 실시간 센서 수집과 데이터베이스 기억 장치 사이의 한 세트의 단계들을 통하여 흐른다. 수집된 데이터는 실시간 메모리 SQL 데이터베이스를 포함할 수 있는 기억 장치에 전송될 수 있다. 기억 장치는 APC 시스템에서 계획을 통해 사용자에 의해 정의된 다른 알고리즘 및 사용자에 의해 정의된 스크립트에 의해 처리될 데이터의 물리적 위치를 제공할 수 있다.
APC 시스템은 각 프로세스 모듈에 대한 독립 데이터 수집 모드 및 셋업 모드를 제공한다. 즉, 각 프로세스 모듈은 임의의 다른 프로세스 모듈에 대해 독립적일 수 있고, 하나의 프로세스 모듈의 셋업은 다른 프로세스 모듈의 데이터 수집을 방해하지 않는다. 이것은 반도체 처리 시스템의 비생산적 시간의 양을 최소화시킨다.
제어 전략이 FDC 계획을 포함할 때, FDC 계획은 웨이퍼 인입 이벤트, 프로세 스 시작 이벤트 또는 레시피 시작 이벤트와 같은 시작 이벤트가 발생한 후에 실행될 수 있다. 계획 실행은 규칙에 기초를 둘 수 있고 SQL 구문을 포함할 수 있다. 오류 및/또는 알람이 제어 전략과 관련된 FDC 계획에 의해 검출된 때, 오류 및/또는 알람 메시지가 중재 관리자에게 전송될 수 있고, 중재 관리자는 오류 및/또는 알람 메시지를 처리할 수 있으며, 중재 관리자는 통지 및/또는 중재 메시지를 전송할 수 있다.
블록 525에서, 분석 전략이 프로세스 콘텍스트에 기초하여 결정될 수 있다. 프로세스 콘텍스트는 수행되는 생산 단계 및 감시되는 툴에 의존할 수 있다. 콘텍스트는 어떤 분석 전략 및/또는 계획이 특수 프로세스 단계에서 실행되는지를 결정한다. 예를 들면, 분석 전략을 "툴 건전성"과 같은 프로세스 유형과 관련시키기 위해, 분석 전략의 콘텍스트는 콘텍스트 항 "툴 건전성"을 포함하여야 한다.
분석 전략은 계획들의 홀더일 수 있다. 분석 전략과 관련 계획들은 수집 후의 데이터를 "분석"한다.
일 실시예에서, 프로세스 콘텍스트는 분석 전략의 목록과 비교될 수 있다. 예를 들면, APC 서버는 "프로세스 시작" 이벤트가 발생한 때 현재 프로세스 콘텍스트를 스트링으로서 취한다. 프로세스 콘텍스트는 분석 전략의 목록과 비교될 수 있고, 그 다음에 적당한 전략이 식별된다.
이 프로세스에서, 검색 순서가 중요할 수 있다. 예를 들면, 검색은 GUI 테이블의 선행 순서를 이용하여 실행될 수 있다. 검색은 SQL 구문을 이용하여 구현될 수 있다. 분석 전략이 식별되면, SPC 계획, PLS 계획, PCA 계획, MVA 계획, FDC 계 획, 중재 계획, 및 사용자 정의 계획 중의 적어도 하나가 자동으로 결정될 수 있다. 계획 ID는 "분석 전략 실행" 모듈에 전송될 수 있다. 비교 프로세스 콘텍스트 기능이 수행될 때 정합 전략이 존재하지 않으면, 소프트웨어는 오류 메시지를 툴 상태 GUI 화면의 결함 필드에 디스플레이할 수 있고, 사용할 정확한 전략을 식별하도록 팝업창이 사용자에게 제공될 수 있다.
런 콘텍스트와 정합하는 다수의 분석 전략이 있을 수 있고, 이 분석 전략들은 특수 프로세싱 툴에 대해 특정 시간에 실행될 수 있다. 사용자는 전략을 목록의 위아래로 이동시킴으로써 특정 콘텍스트 내에서 전략의 순서를 변경할 수 있다. 전략을 선택할 시간이 되면, 소프트웨어는 목록의 최상부에서 시작하고, 소프트웨어가 콘텍스트에 의해 결정된 필요조건과 일치하는 제1 전략을 찾을 때까지 목록 아래로 진행하여 그 전략을 먼저 실행한다.
또한, 각 분석 전략에는 다수의 계획이 있을 수 있고, 사용자는 계획을 목록의 위아래로 이동시킴으로써 분석 전략 내에서 계획의 순서를 결정한다. 계획을 실행할 시간이 되면, 소프트웨어는 목록의 최상부에서 시작하여 목록의 아래로 진행한다.
콘텍스트 기반 실행을 이용하는 하나의 방법은 콘텍스트 정합을 수행할 수 있다. 예를 들면, 콘텍스트 정합을 실행할 때, 현재 처리되는 웨이퍼의 콘텍스트가 사용될 수 있다. 대안적으로, 현재 처리되는 기판 또는 다른 반도체 제품의 콘텍스트가 사용될 수 있다. 콘텍스트가 결정되면, 그 콘텍스트는 분석 전략의 콘텍스트와 비교될 수 있다. 콘텍스트 정합이 발생하면 하나 이상의 분석 전략이 실행될 수 있다.
분석 전략이 실행되면, 분석 계획, 중재 계획, 및/또는 FDC 계획이 식별될 수 있다. 예를 들면, 동적 셋업 및 적어도 하나의 분석 전략의 호출을 허용하는 콘텍스트 정합 실행 소프트웨어 모듈이 사용될 수 있다. 하나의 경우에, 웨이퍼 배출 이벤트는 시스템 제어기를 트리거하여 현재 콘텍스트 데이터를 조사하고, 어떤 분석 전략이 런하여야 하는지를 결정하고, 관련 계획을 판정하기 위한 대응 스크립트를 호출할 수 있다.
블록 530에서, 분석 전략과 관련된 계획이 실행된다. 일 실시예에서, 분석 전략과 관련된 계획들은 종료 이벤트 후에 실행된다. 다른 실시예에서, 종료 이벤트는 필요하지 않다. 종료 이벤트는 프로세스 또는 레시피 단계가 정지되는 시점이 될 수 있고 콘텍스트 기반으로 될 수 있다. 예를 들면, 웨이퍼 외, 레시피 정지, 프로세스 정지, 단계 정지, 모듈 정지 및 툴 정지가 정지 이벤트일 수 있다. 또한, 정지 이벤트는 웨이퍼가 처리실을 빠져나올 때 발생할 수 있다. 대안적으로, 정지 이벤트는 웨이퍼가 전송실을 빠져나올 때 또는 웨이퍼가 처리 시스템을 빠져나올 때 발생할 수 있다.
분석 계획이 실행될 때, SPC 계획, PLS 계획, PCA 계획, MVA 계획, FDC 계획, 중재 계획, 및 사용자 정의 계획 중의 적어도 하나가 실행될 수 있다. 고품질 제품을 산출하는 생산 런 중에 수집된 데이터에서 수행되는 분석은 "양호한 툴 상태" 모델을 확립하기 위해 사용될 수 있고, 후속적으로 수집된 데이터는 이 기준 모델을 이용하여 분석되어 툴이 실시간으로 정확하게 수행하는지를 결정할 수 있 다.
분석 전략은 품질 관리(QC) 테스팅의 일부로서 툴 건전성 상태를 결정하기 위해 확립될 수 있다. 예를 들면, 툴 건전성 분석 전략 및 그 관련 계획은 시스템 또는 프로세싱 툴과 같은 시스템의 일부가 적절하게 동작하는 것을 보장하도록 실행될 수 있다. 툴 건전성 분석 전략 및 그 관련 계획은 규정된 시간에 또는 사용자가 1을 스케줄할 때 실행될 수 있다. 툴 건전성 분석 전략 및 그 관련 계획이 실행될 때, 진단 웨이퍼 데이터가 진단 모델을 이용하여 분석될 수 있고, 여기에서 진단 모델은 SPC 챠트, PLS 모델, PCA 모델, FDC 모델 및 MVA 모델을 포함할 수 있다.
분석 전략과 그 관련 FDC 계획은 시즈닝 관련 프로세스 및 챔버 핑거프린팅 프로세스와 같은 프로세스 모듈 특성화 프로세스에 대해 확립되고 실행될 수 있다. 예를 들면, 클리닝 또는 유지 관리 프로세스(즉, 습식 클리닝) 후에, 다수의 더미 웨이퍼가 챔버 특성화 관련 전략, 계획 및 레시피를 이용하여 처리될 수 있다. 사용자는 시스템의 일부인 전략 및 계획을 사용할 수 있고, 또는 APC 시스템을 이용하여 새로운 챔버 특성화 관련 제어 전략 및 FDC 시스템을 이용하여 FDC 계획을 쉽고 신속하게 개발할 수 있다. 이 챔버 특성화 런으로부터의 데이터는 프로세스, 툴, 및/또는 FDC 모델을 추가로 정제하기 위해 사용될 수 있다. 데이터는 최상의 제어 전략, R2R 모델 및 FDC 모델을 식별하기 위한 분석을 위해 사용될 수 있다.
분석 전략이 FDC 계획을 포함할 때, FDC 계획은 웨이퍼 아웃 이벤트, 프로세스 정지 이벤트, 레시피 정지 이벤트, 배치 아웃 이벤트 또는 로트 아웃 이벤트 등 의 종료 이벤트 후에 실행될 수 있다. 실행은 규칙에 기초를 두고 SQL 구문을 포함할 수 있다. 다른 실시예에서, FDC 계획은 종료 이벤트로부터 독립적으로 동작할 수 있다. 오류 및/또는 알람이 분석 전략과 관련된 FDC 계획에 의해 검출된 때, FDC 계획은 오류 및/또는 알람 메시지를 중재 관리자에게 전송할 수 있고, 중재 관리자는 오류 및/또는 알람 메시지를 처리할 수 있으며, 중재 관리자는 통지 및/또는 중재 메시지를 전송할 수 있다.
제어 전략 FDC 계획과 분석 전략 FDC 계획은 독립적으로 동작할 수 있다. 각 FDC 계획은 다른 FDC 계획의 행동들을 알 필요가 없다. 결국, 행동들에 약간의 리던던시 또는 불일치가 있을 수 있고, 중재 관리자는 임의의 문제점을 해결하기 위해 사용될 수 있다.
블록 535에서, 오류가 검출되었는지를 결정하기 위한 질의가 수행될 수 있다. 오류가 검출되었으면, 절차(500)는 블록 550으로 분기한다. 오류가 검출되지 않았으면 절차(500)는 블록 540으로 분기한다.
블록 550에서, 중재 계획이 실행될 수 있다. 중재 계획은 각 FDC 계획으로부터 메시지(알람)를 획득하고; 다른 FDC 계획으로부터의 행동들을 카테고리화하고; 툴 ID, 레시피 ID, 레시피 시작 시간 등의 프로세스 조건을 이메일 및 로그에 부착하고; 로그 파일/데이터베이스를 저장하고; 및 적당한 메시지를 중재 관리자에게 전송하는 프로세스를 실행한다.
중재 계획은 데이터 분석 결과로서 취할 수 있는 다수의 다른 행동들을 포함할 수 있다. 예를 들면, 이러한 행동들은 서스펙트 웨이퍼 또는 로트를 플래그하여 시스템 소유자 및/또는 툴 소유자에게 통지하고; 데이터를 검토하여 결정을 행하도록 엔지니어에게 페이징 또는 이메일 전송하고; 데이터가 검토되고 금지가 해제될 때까지 툴이 웨이퍼를 처리하는 것을 금지하고; 툴을 정지시키거나 툴을 나머지 웨이퍼를 툴로부터 제거할 수 있는 "오프라인"으로 두고; 챔버 클리닝 또는 유지 관리 절차를 트리거하는 것들을 포함할 수 있다.
바람직하게, 각 프로세스 단계 중에 단지 하나의 중재 계획이 실행된다. 중재 계획 실행은 FDC 제어기에서 구현될 수 있다. 예를 들면, 중재 계획은 다른 계획으로부터 정보(메시지)를 취득할 수 있다. 이 정보는 계획 ID, 제안된 행동을 가진 메시지, 결함 메시지, 복구 메시지 및 행동 목록을 포함할 수 있다.
중재 계획이 실행되면, 적당한 행동의 메시지가 중재 관리자에 의해 전송될 수 있다. 예를 들면, 행동 후보는 결함 메시지를 상태 화면에 디스플레이하는 것; 다음 웨이퍼 전에 프로세스를 일시중지하도록 메시지를 전송하는 것; 다음 로트 전에 프로세스를 일시중지하도록 메시지를 전송하는 것; 하나 이상의 툴에 일시중지 또는 정지 메시지를 전송하는 것; 하나 이상의 프로세스 모듈에 일시중지 또는 정지 메시지를 전송하는 것; 하나 이상의 센서에 일시중지 또는 정지 메시지를 전송하는 것; 시스템 소유자, 툴 소유자 또는 프로세스 소유자에게 이메일을 전송하는 것 등을 포함할 수 있다. 예를 들면, "정지" 메시지는 이미 툴 내에 있는 웨이퍼를 처리하는 것을 계속하도록 툴에게 지시하기 위해 사용될 수 있고, "중단"(abort) 메시지는 툴에서 웨이퍼를 처리하지 말고 웨이퍼를 캐리어에 다시 보내도록 툴에게 지시하기 위해 사용될 수 있다.
일부 경우에, FDC 및/또는 APC 시스템은 인간의 중재없이 문제점을 중재 및 문제점에 응답할 수 있다. 다른 경우에, 인간의 중재가 필요하다. 예를 들면, 사용자는 결함의 특성을 결정하기 위해 시스템으로부터 데이터를 액세스할 수 있고, 사용자는 프로세스를 계속할 것인지 종료할 것인지를 결정할 수 있다. 사용자가 프로세스를 종료하면, 툴은 수리 상태(repair state)에 들어갈 수 있다. 사용자는 이것을 툴 화면으로부터 트리거할 수 있다. 예를 들면, 사용자는 챔버 습식 클리닝을 하도록 결정할 수 있다. 습식 클리닝, 체크 및 프로세스 테스트 후에, 프로세스는 결함이 검출되지 않았을 때 다음 웨이퍼에 대해 재개시할 수 있다. FDC 및/또는 APC 시스템은 "툴 건전성" 챠트를 사용자에게 제시할 수 있다. 예를 들면, 챠트는 압력계 데이터, 매스플로(mass flow) 데이터, 누설 데이터, 펌프 데이터, 가스 시스템 데이터, 카세트 시스템 데이터 및 전송 시스템 데이터를 포함할 수 있다. 챠트는 하나 이상의 툴, 하나 이상의 모듈, 하나 이상의 웨이퍼, 하나 이상의 프로세스 단계 및 다른 시간대에 대하여 실시간 데이터, 이력 데이터, 및 실시간 데이터와 이력 데이터의 조합을 디스플레이할 수 있다.
블록 540에서, 프로세스가 종료되었는지를 결정하기 위한 질의가 수행될 수 있다. 프로세스가 종료되었으면, 절차(500)는 블록 560으로 분기하고 절차(500)가 정지된다. 프로세스가 종료되지 않았으면, 절차(500)는 블록 515로 분기하고 절차(500)는 도 5에 도시된 바와 같이 계속된다.
APC 시스템은 툴이 생산 중에 있지 않을 때 툴 오류를 검출 및 분류하고; 생산 중의 툴 오류를 검출 및 분류하고; 생산 중의 툴 오류를 검출 및 보정하고; 생 산 전에 툴 오류를 예측하고; 생산 후에 툴 오류를 예측하기 위해 사용될 수 있다. 예를 들면, 툴 상태 감시 시스템은 자동 셋업 기능, 자동 체크 기능 및 자기 체크 기능과 같은 다수의 자기 감시 기능을 수행하는 프로세싱 툴과 인터페이스할 수 있다. 툴이 실시간으로 머신 이벤트를 전송할 때, 감시 시스템은 데이터를 실시간으로 감시하고, 툴이 데이터를 비실시간으로 전송할 때, 감시 시스템은 툴이 데이터(즉, 머신 로그에 저장된 데이터)를 전송하자마자 데이터를 처리한다. 툴 데이터는 누출량(leak-rate) 체크, 제로 옵셋, 이력 이벤트, 알람 정보 및 프로세스 로그 데이터 등의 정보를 포함할 수 있다.
시스템은 FDC 애플리케이션, 챔버 핑거프린팅 애플리케이션, 시즈닝 완료 애플리케이션, 소모 수명 예측, 습식 클리닝 주기 애플리케이션 및 부품 조립을 위한 진단 애플리케이션에서 사용될 수 있는 전략, 계획 및 기준 모델을 포함한다. 또한, APC 시스템은 프로세싱 툴로부터 ARAMS(Automated Reliability, Availability and Maintainability Standards; 자동화 신뢰성, 가용성 및 유지 관리성 표준) 로그를 수집 및 분석할 수 있다. APC 시스템은 이 데이터 수집을 데이터 수집 계획의 일부로서 수행할 수 있다.
시스템은 유지 관리 데이터를 수집하고 분석하기 위한 전략 및 계획을 포함할 수 있다. 데이터 수집 계획은 소모성 부품 및 유지 관리성 부품을 포함한다. 예를 들면, 이 부품들은 포커스 링, 차폐 링, 상부 전극 등을 포함할 수 있다. 유지 관리 데이터 전략 및 계획은 툴 유형, 프로세스 모듈 유형 및 번호 등에 의존한다. 결함 유지 관리 데이터 전략 및 계획은 툴 셋업, 프로세스 모듈 셋업 및 부가 센 서(add-on sensor) 셋업 정보의 일부로서 자동으로 구성될 수 있다. 사용자는 결함 세팅을 변경할 수 있다. 유지 관리 데이터는 웨이퍼 대 웨이퍼 FDC, 배치 대 배치 FDC 또는 로트 대 로트 FDC를 제공하기 위해 사용될 수 있다.
시스템은 다른 유형의 알람에 대한 정보를 저장할 수 있고, 메시지는, 도 6에 도시되어 있는 바와 같이, 알람 요약 화면(600)과 같은 하나 이상의 GUI 화면에 디스플레이될 수 있다. 일 실시예에서, 알람 요약 화면은 알람 번호(602), 알람 시간(604), 알람 식별 정보(606), 알람의 설명(608), 알람 유형(610), 알람이 세트인지 클리어인지에 관한 표시(612), 알람을 발생한 툴의 표시(614), 알람을 발생한 모듈의 표시(616) 및 알람의 소스(618)가 입력된 테이블(610)을 포함한다. 알람 그룹은 툴에서 발생된 알람, 소프트웨어에서 발생된 알람 및 런 규칙 위배에 의해 발생된 알람을 포함할 수 있다. 소프트웨어 애플리케이션은 다수의 다른 환경에서 소프트웨어 알람을 발생할 수 있다. 예를 들면, 다양한 심각도 수준을 가진 알람은 기동시에, 폐쇄시에, 툴 및/또는 모듈에 접속시에, 툴 및/또는 모듈과의 분리 또는 접속 해제시에, 성공하지 못한 제어 행동의 수행시에, 및 임의의 오류와 만났을 때 발생될 수 있다. 소프트웨어 알람은 오류 코드의 할당에 의해 구별될 수 있다.
일 실시예에서, 프로세싱 시스템 및 호스트 시스템은 상호작용하여 알람 및/또는 결함에 대한 정확한 응답 및 웨이퍼 처리에 사용하는 적당한 순서를 결정한다.
R2R 제어기 및 FDC 시스템은 다중 경로(multi-pass) 프로세싱에서 협력한다. 예를 들면, 프로세싱 모듈을 통과하는 하나의 경로는 희망 처리 결과를 제공하지 못하고, 하나 이상의 추가적인 경로가 웨이퍼 처리 중에 요구될 것이다. 이 경우, R2R 제어기 또는 FDC 시스템에 의해 오류가 발생되지 않는다. 또한, R2R 제어기 및 FDC 시스템은 분리되고 내포된 구조물이 웨이퍼 상에 존재할 때 웨이퍼 처리 중에 협력한다.
도 7은 본 발명의 예시적인 실시예에 따른 FDC 제어 전략 화면(700)을 예시적으로 도시한 것이다. FDC 제어 전략 화면은 다수의 구성 항목을 포함할 수 있다. 전략 명칭 필드(702)는 FDC 제어 전략 명칭을 입력/편집하기 위해 사용될 수 있다. 설명 필드(704)는 FDC 제어 전략 설명을 입력/편집하기 위해 사용될 수 있다. 모드 필드(714)는 FDC 제어 전략의 모드를 입력/편집하기 위해 사용될 수 있다. 예를 들면, 모드는 표준 모드와 시뮬레이션 모드를 포함할 수 있다. 인에이블 박스는 FDC 제어 전략을 인에이블 또는 디스에이블하기 위해 사용될 수 있다.
적재 포트 필드(706)는 프로세싱 툴로부터 적재 포트 정보의 목록을 얻기 위해 사용될 수 있다. 적재 포트 업데이트 버튼(712)은 리프레시 기능으로서 사용될 수 있고, 프로세싱 툴로부터 현재 적재 포트 정보를 얻기 위해 사용될 수 있다.
시스템 레시피 필드(716)는 프로세싱 툴로부터 시스템 레시피의 목록을 얻기 위해 사용될 수 있다. 시스템 레시피 업데이트 버튼(718)은 리프레시 기능으로서 사용될 수 있고, 프로세싱 툴로부터 현재 레시피 정보를 얻기 위해 사용될 수 있다. 예를 들면, 시스템 레시피 명칭은 시스템 레시피 명칭과 같은 하나 이상의 콘텍스트 항목을 일치시킴으로써 FDC 제어 전략을 트리거하기 위해 사용될 수 있다.
전송 루트 필드(708)는 프로세싱 툴로부터 선택된 적재 포트 및 시스템 레시 피의 전송 루트를 얻기 위해 사용될 수 있다. 전송 루트 업데이트 버튼(719)은 리프레시 기능으로서 사용될 수 있고, 프로세싱 툴로부터 현재 레시피 정보를 얻기 위해 사용될 수 있다.
계측 데이터 장애 필드(710)는 하기 옵션으로부터 계측 데이터 장애 행동을 입력/편집하기 위해 사용될 수 있다: 툴 프로세스 레시피를 사용한다(명목 레시피) - 소프트웨어는 프로세스 툴에 표시를 전송하고 프로세스 툴은 툴 프로세스 레시피를 사용한다. 프로세스 레시피를 사용하지 않는다(무효 레시피) - 소프트웨어는 웨이퍼와 관련된 무효 레시피 정보를 프로세스 툴에 전송하고, 웨이퍼는 처리되지 않고 챔버로 인입되고 챔버로부터 배출된다. 예를 들면, 프로세스 모듈을 일시중지시키기 위해 PM 일시중지 명령이 사용될 수 있고, 전송 시스템을 포함한 시스템을 일시중지시키기 위해 시스템 일시중지 명령이 사용될 수 있다.
제어 장애 필드(720)는 하기 옵션으로부터 제어 장애 행동을 입력/편집하기 위해 사용될 수 있다: 툴 프로세스 레시피를 사용한다(명목 레시피) - 소프트웨어는 프로세스 툴에 표시를 전송하고 프로세스 툴은 툴 프로세스 레시피를 사용한다. 프로세스 레시피를 사용하지 않는다(무효 레시피) - 소프트웨어는 웨이퍼와 관련된 무효 레시피 정보를 프로세스 툴에 전송하고, 웨이퍼는 처리되지 않고 챔버 내부로 및 챔버 외부로 진행한다. PM 일시중지 - 프로세스 모듈을 일시중지시키고, 시스템 일시중지 - 전송 시스템을 포함한 시스템을 일시중지한다.
또한, 다수의 사용 콘텍스트 명세서 필드(721)는 추가의 콘텍스트 항목이 필요할 때 그 추가 콘텍스트 정합 항목을 제공하기 위해 사용될 수 있다. 로트 ID 필 드는 로트 식별자를 입력/편집하기 위해 사용될 수 있고; 웨이퍼 ID 필드는 웨이퍼 식별자를 입력/편집하기 위해 사용될 수 있고; CJ ID 필드는 제어 잡 식별자를 입력/편집하기 위해 사용될 수 있고; PJ ID 필드는 프로세스 잡 식별자를 입력/편집하기 위해 사용될 수 있고; 카세트 ID 필드는 카세트 식별자를 입력/편집하기 위해 사용될 수 있고; 캐리어 ID 필드는 캐리어 식별자를 입력/편집하기 위해 사용될 수 있고; 슬롯 필드는 슬롯 번호를 입력/편집하기 위해 사용될 수 있고; 기판 ID 필드는 기판 식별자를 입력/편집하기 위해 사용될 수 있고; 웨이퍼 유형 필드는 웨이퍼 유형을 입력/편집하기 위해 사용될 수 있고; 스크라이브드 웨이퍼 ID 필드는 스크라이브드 웨이퍼 식별자를 입력/편집하기 위해 사용될 수 있고; 하나의 시작 시간 필드는 시작 시간을 입력/편집하기 위해 사용될 수 있고; 제2 시작 시간 필드는 종료 시간을 입력/편집하기 위해 사용될 수 있다.
도 7에 도시된 바와 같이, FDC 제어 전략은 본 발명의 일 실시예에서 하나 이상의 FDC 제어 계획을 포함할 수 있다. 또한, 제어 (피드포워드) 계획 탭(722) 및 피드백 계획 탭(724)은 새로운 FDC 제어 계획을 생성하고, FDC 제어 계획을 FDC 제어 전략과 관련시키며, FDC 제어 계획을 편집하기 위해 사용될 수 있다.
따라서, 사용자는 FDC 제어 전략 화면을 사용해서 FDC 제어 전략 구성을 수행하고, 기존 FDC 제어 전략을 보며, 새로운 FDC 제어 전략을 생성하고, 기존 FDC 제어 전략을 복사하고, 기존 FDC 제어 전략을 편집하고, 기존 FDC 제어 전략을 삭제하고, FDC 제어 전략을 테스트할 수 있다. 예를 들면, 드롭다운 목록은 행동의 과정(course)을 선택하기 위해 사용될 수 있다.
도 8은 본 발명의 예시적 실시예에 따른 FDC 제어 계획 편집 화면(800)을 예시적으로 도시한 것이다. FDC 제어 계획을 생성하기 위해, 사용자는 계획명 항목을 선택하고, 새로운 제어 계획이나 기존 계획 또는 모델을 선택할 수 있다. 예를 들면, FDC 제어 전략 화면에서 드롭다운 메뉴가 나타나고 계획 추가(Add Plan) 선택이 선정될 수 있다.
FDC 제어 계획 화면은 다수의 필드를 포함할 수 있다. 계획명 필드(802)는 FDC 제어 계획의 명칭을 입력/편집하기 위해 사용될 수 있다. 모듈 필드(806)는 모듈명을 입력/편집하기 위해 사용될 수 있다. 예를 들어서, 계획이 전략과 관련되면, 모듈 필드는 자동으로 채워질 수 있다. 만일 계획이 비관련이면, 모듈 필드는 프로세스 모듈 또는 측정 모듈을 선택하기 위해 사용될 수 있다. 레시피 필드(808)는 레시피를 입력/편집하기 위해 사용될 수 있다. 예를 들어서, 계획이 전략과 관련되면, 레시피 필드는 자동으로 채워질 수 있다. 만일 계획이 비관련이면, 필드는 프로세스 모듈용의 프로세스 레시피 또는 측정 모듈용의 측정 레시피를 선택하기 위해 사용될 수 있다.
설명 필드(804)는 계획의 설명을 입력/편집하기 위해 사용될 수 있다. 업데이트 필드(810)는 계획이 변경된 최종 시간을 디스플레이한다.
데이터 소스 테이블(812)은 데이터 소스를 입력/편집하기 위해 사용될 수 있다. 예를 들면, FDC 계획 데이터 소스 화면은 개방될 수 있다. 데이터 소스 테이블은 소스 유형, 데이터 소스 설명, 및 데이터 소스 매개변수/값을 포함할 수 있다. 예를 들면, 선택된 소스 유형은 데이터 소스 화면에 디스플레이된 옵션들을 결정하 는데, "텔리우스 ODP" 유형은 프로세싱 툴의 일부인 통합 계측 모듈 데이터 소스를 정의하기 위해 사용되고, "희망 출력" 유형은 사용자가 제어기에 대해 고정 유닛을 입력할 수 있게 하며, "피드백 옵셋" 유형은 사용자가 일관된 피드백 변수를 정의할 수 있게 하고, "제어 계획 값"은 사용자가 다른 제어 계획의 결과를 참조하는 변수를 생성(내포된 계획을 생성)하게 하고, "통합 계측 사이트 필터링" 유형은 각 데이터 소스가 선택된 때 각 옵션의 설명이 있는 테이블을 생성하고, "콘텍스트 항목" 유형은 사용자가 슬롯 ID, 웨이퍼 ID 또는 웨이퍼 번호 등의 콘텍스트 항목을 참조하는 변수를 생성하게 한다.
기호(symbol)는 기호 드롭다운 목록으로부터 선택되고 소스 유형은 데이터 소스 유형 드롭다운 메뉴로부터 선택될 수 있다. 예를 들면, 데이터 소스 정보 필드는 선정된 데이터 소스에 의존하여 변화할 수 있다.
2개의 입력 데이터 소스(d1, d2)가 도시되어 있지만 이것이 꼭 필요한 것은 아니다. 다른 수의 입력 데이터 소스를 사용할 수 있고, 각 입력 데이터 소스는 다른 기호값을 가질 수 있다. 데이터 소스는 희망 처리 결과 또는 눈금조정된 데이터 항목과 같은 제어 계획 값일 수 있다. 또한, 데이터 소스는 ODP 툴일 수 있고, 텔리우스와 같은 프로세싱 툴의 일부일 수 있다. 더 나아가, 다른 데이터 소스는 SEM 일 수 있고, 매개변수/값은 CD-SEM과 같은 실제 측정된 데이터일 수 있다.
일반적으로, 프로세스 제어는 웨이퍼가 프로세스 모듈에 도달하기 전에 웨이퍼 상에서 측정된 계측 정보를 이용하여 프로세스 모듈 레시피를 업데이트하는 것을 포함할 수 있다. 제어기는 처리 전 데이터를 이용하여 각종 물리적 모듈에 얼마 나 많은 비지트가 필요한지를 결정할 수 있다. 희망 프로세스 모듈은 모델 방정식에서 "y"값일 수 있다. 희망 처리 결과 "y"가 정확한 값일 때 태스크를 결정해야 한다.
목표 계산 필드(814)에서, FDC 제어 계획 화면상에 목표 계산이 입력될 수 있다. 예를 들면, 목표 계산은 데이터 소스 항목과 동일하게 설정될 수 있다. 대안적으로, 하나의 데이터 집합을 다른 데이터 집합과 관련시키는 방정식이 입력될 수 있다. 또한, 목표 계산은 추가의 보상 항(term)을 포함할 수 있다. 예를 들어, 추가의 보상 계수(factor)를 사용하여 다른 단계, 예를 들면 포토 레지스트 단계에서 유도된 오류를 보정할 수 있다. 새로운 목표값은 런타임에서 또는 런타임 전에 계산된 변수일 수 있고, 방정식을 이용하여 목표값을 계산할 수 있다.
또한, 새로운 하한값 및 상한값이 사용될 수 있고, 이 값들은 하한 필드 및 상한 필드에 입력될 수 있다. 예를 들면, 새로운 하한값과 상한값은 런타임에서 또는 런타임 전에 계산된 변수일 수 있고, 방정식을 이용하여 새로운 하한값 및 상한값을 계산할 수 있다.
모델 선택 필드(816)는 정적 모델 및/또는 공식 모델을 편집/입력하기 위해 사용될 수 있다. 예를 들면, 모델 유형 선택 항목하에서, 테이블 내의 선택 항목은 모델 유형을 입력 및/또는 편집하기 위해 사용될 수 있다. 드롭다운 목록은 테이블 항목으로부터 기동될 수 있고 선택은 드롭다운 목록으로부터 행하여질 수 있다. 드롭다운 목록에서 하나의 옵션은 새로운 모델이 생성되게 하고, 다른 옵션은 사용할 또는 수정할 기존 모델을 디스플레이 및 선택하기 위해 사용될 수 있다. 각 모델 유형은 모듈명, 목표값, 하한, 상한 및 모델 유형과 관련된 레시피 출력을 가질 수 있다. 새로운 모델을 생성한 때, 새로운 모델 유형이 사용되고 모델 유형 필드에 입력될 수 있으며, 새로운 모델명이 사용되고 모델명 필드에 입력될 수 있다.
예측 결과 계산 필드(820)는 새로운 예측 결과 값을 입력하거나 기존 예측 결과 값을 선택하기 위해 사용될 수 있다. 예측 결과 값은 기대 결과의 방정식일 수 있다. 예를 들면, 제어 계획은 명칭, 목표 계산 및 모델 선택 정보가 입력될 때 저장될 수 있다.
샵(#) 필드(818)는 모델의 목록에 다수의 모델을 포함한다. 모델 유형은 정적 모델 또는 공식 모델이 선택되게 한다. 모델명 필드는 가용 모델의 명칭을 목록화한다. 예를 들면, 새로운 모델을 생성하기 위해, "새로운 정적 레시피" 옵션 또는 "새로운 공식 레시피" 옵션이 드롭다운 목록으로부터 선택될 수 있다. 정적 제어 계획은 하나 이상의 정적 레시피를 포함하는 것으로 생성될 수 있다. 예를 들면, 10개 이상의 정적 모델이 보여질 수 있다. 정적 모델은 동일한 목표값(t1)을 갖는 것으로 도시되었지만, 이것이 꼭 필요한 것은 아니다. 다른 수의 정적 및/또는 공식 모델이 사용될 수 있고, 각 모델은 다른 목표값을 가질 수 있다. 새로운 목표값은 각 정적 레시피가 사용될 때 계산될 수 있다. 정적 레시피 모델은 하한값 및 상한값에 의해 정의된 것과 다른 운용 범위를 거질 수 있다. 또한, 정적 레시피 모델은 다른 정적 레시피 출력을 가질 수 있고, 각각의 정적 레시피에 대하여 다른 정적 레시피 출력이 결정될 수 있다.
FDC 제어 계획은 정적 모델 레시피, 공식 모델 레시피, 또는 이들의 조합을 포함할 수 있다. 제어기는 모듈들에 대해 제어 계획을 자동 발생할 수 있다. 프로세스 레시피는 하나 이상의 처리 단계를 각각 포함하는 하나 이상의 프로세스를 포함할 수 있다. 프로세스 레시피는 단일 챔버에서 또는 다수의 챔버에서 수행될 수 있다. 프로세스 레시피는 명목 레시피, 정적 레시피 및 공식 모델 중의 적어도 하나를 이용하여 구성될 수 있다.
정적 레시피는 특정 처리 결과를 달성하기 위해 사용되는 단일 집합의 레시피 조정일 수 있다. 정적 레시피 집합은 테이블 기반 제어기를 셋업하기 위해 사용될 수 있고, 또는 정적 레시피는 동일한 레시피가 사용되어야 하는 희망 출력 범위를 취급하기 위해 공식 모델과 함께 사용될 수 있다. 정적 레시피와 함께 피드백을 사용할 때, 사용된 각각의 정적 레시피에 대하여 단일 예측 처리 결과가 제어 계획에서 특정될 수 있다.
여기에서 제시한 소프트웨어 및 관련 GUI 화면은 다수의 언어로 이용하는 것이 또한 가능하다. GUI 화면 배치(layout)는 범용 툴 설치를 보조하도록 설계되었다. 다수국의 사용자들은 콘텍스트 기반 데이터 관리 소프트웨어가 사용 및 이해하기 쉽다는 것을 알 것이다. 시스템이 설치되거나 시스템 구성이 변경된 때, 소프트웨어는 사용자를 위해 모든 필요한 데이터베이스 및 파일들을 생성한다. 콘텍스트 기반 데이터 관리 GUI 화면은 시스템과 각종 레벨의 최종 사용자 간에 대화 수단을 제공한다.
소프트웨어는 설치시 및 그 후에 머신 유형 및 하드웨어 구성을 구성 변경으로서 셋업한다. 예를 들면, 사용자 프로필은 예컨대 터치 화면 전용, 키보드와 마 우스 지원, 다중 언어 - 일본어, 영어, 불어, 독일어 등, 사용자 클래스 시스템, 클래스 레벨, PE, EE, 운용자, 컬러 블라인드-그레이 스케일/패턴 또는 컬러, 좌에서 우로, 위에서 아래로의 워크플로우, 아이콘, 그림, 또는 단어, 및 정적 탭과 같은 특징을 제공하는 언어 및 사용자 뷰어 선호도에 대하여 생성될 수 있다.
비록 위에서는 본 발명의 특정 실시예만을 상세히 설명하였지만, 당업자라면 본 발명의 신규 교시 및 장점으로부터 실질적으로 벗어나지 않고 상기 실시예를 여러가지로 수정할 수 있다는 것을 이해할 것이다. 따라서, 그러한 수정은 모두 본 발명의 범위에 포함되는 것으로 한다.

Claims (52)

  1. 런투런(R2R) 제어기를 포함한 고급 프로세스 제어(APC) 시스템에서 결함 검출 및 분류(FDC)를 구현하는 컴퓨터 구현 방법에 있어서,
    메모리로부터 FDC 모델을 수신하는 단계와;
    상기 FDC 모델을 프로세스 모델 계산 엔진에 제공하는 단계와;
    상기 프로세스 모델 계산 엔진을 이용하여 예측 의존 프로세스 매개변수의 벡터를 연산하는 단계와;
    레시피 매개변수의 집합을 포함하는 프로세스 레시피를 수신하는 단계와;
    상기 프로세스 레시피를 프로세스 모듈에 제공하는 단계와;
    상기 프로세스 레시피를 실행하여 측정 의존 프로세스 매개변수의 벡터를 생성하는 단계와;
    상기 예측 의존 프로세스 매개변수의 벡터와 상기 측정 의존 프로세스 매개변수의 벡터 간의 차이를 계산하는 단계와;
    상기 차이를 임계값과 비교하는 단계와;
    상기 차이가 임계값보다 더 클 때 알람 조건을 선언하는 단계
    를 포함하는 컴퓨터 구현 방법.
  2. 제1항에 있어서, 상기 알람 조건을 선언하기 위해 SPC 기술을 사용하는 컴퓨터 구현 방법.
  3. 제1항에 있어서, 상기 FDC 모델 엔진이 상기 예측 의존 프로세스 매개변수의 계산을 위한 독립된 프로세스 매개변수로서, 처리실 상태, 또는 인입 웨이퍼 상태, 또는 이들의 조합을 사용하는 컴퓨터 구현 방법.
  4. 제1항에 있어서, 프로세스 매개변수 입력을 희망 처리 결과에 관련시키는 R2R 제어 모델을 자동화 실험 설계(DOE) 법을 이용하여 생성하는 단계와;
    상기 예측 의존 프로세스 매개변수를 독립 프로세스 매개변수에 관련시키는 FDC 모델을 자동화 DOE 법을 이용하여 생성하는 단계를 더 포함하는 컴퓨터 구현 방법.
  5. 제4항에 있어서, 상기 R2R 제어 모델은 PLS 분석 기술, 또는 PCA 분석 기술, 또는 이들의 조합을 사용하는 것인 컴퓨터 구현 방법.
  6. 제1항에 있어서, 상기 APC 시스템은 웨이퍼 처리 전의 웨이퍼 상태와 웨이퍼 처리 후의 웨이퍼 상태를 측정하기 위한 통합 계측 모듈(IMM)을 포함하는 것인 컴퓨터 구현 방법.
  7. 제1항에 있어서, 상기 R2R 제어기는 측정 의존 프로세스 매개변수의 희망 벡터를 유지하도록 제어기를 조정하기 위해 사용되는 피드포워드부, 피드백부, 또는 이들의 조합을 포함하는 것인 컴퓨터 구현 방법.
  8. 제7항에 있어서, 상기 R2R 제어기는 피드백부를 포함하고, EWMA 필터 기술은 피드백 옵셋을 계산하기 위해 사용되는 것인 컴퓨터 구현 방법.
  9. 제7항에 있어서, 상기 R2R 제어기는 피드백부를 포함하고, 로트 평균은 평균 오차를 계산하기 위해 사용되는 것인 컴퓨터 구현 방법.
  10. 제3항에 있어서, 상기 APC 시스템은 복수의 센서를 포함하고 상기 처리실 상태는 센서들 중 하나 이상으로부터 얻어질 수 있으며, 상기 복수의 센서는 OES 센서, 전압/전류(V/I) 프로브, 온도 센서, 압력 센서, 유량 센서, 또는 RF 센서, 플라즈마 밀도 센서, 라디컬 밀도 센서, 전자 에너지 센서, 이온 에너지 센서, RGA(잔류 가스 분석기) 또는 이들의 조합을 포함하는 것인 컴퓨터 구현 방법.
  11. 제3항에 있어서, 상기 APC 시스템은 복수의 센서를 포함하고 측정으로부터 유도되는 의존 매개변수는 센서들 중 하나 이상으로부터 얻어질 수 있으며, 상기 복수의 센서는 OES 센서, 전압/전류(V/I) 프로브, 온도 센서, 압력 센서, 유량 센서, 또는 RF 센서, 플라즈마 밀도 센서, 라디컬 밀도 센서, 전자 에너지 센서, 이온 에너지 센서, RGA(잔류 가스 분석기) 또는 이들의 조합을 포함하는 것인 컴퓨터 구현 방법.
  12. 제3항에 있어서, 상기 FDC 모델은 PLS 분석 기술, 또는 PCA 분석 기술, 또는 마하라노비스-타구치 시스템(mahalanobis-Taguchi system), 또는 이들의 조합을 사용하는 것인 컴퓨터 구현 방법.
  13. 제1항에 있어서, 알람에 대한 심각도 수준을 확립하는 단계와;
    상기 알람에 대한 심각도 수준이 심각도 한계와 같거나 그보다 클 때 결함 조건- 결함 조건에는 결함 수준이 확립된다 -을 선언하는 단계와;
    상기 알람에 대한 심각도 수준이 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  14. 제13항에 있어서, 결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키는 단계를 더 포함하는 컴퓨터 구현 방법.
  15. 제13항에 있어서, 추가 알람을 수신하는 단계와;
    추가 알람에 대한 심각도 수준을 확립하는 단계와;
    상기 알람에 대한 심각도 수준 및 상기 추가 알람에 대한 심각도 수준을 이용하여 총 심각도 수준을 확립하는 단계와;
    상기 총 심각도 수준이 상기 심각도 한계와 같거나 그보다 더 클 때 결함 조건을 선언하는 단계와;
    상기 총 심각도 수준이 상기 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  16. 제15항에 있어서, 결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계보다 더 작을 때 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  17. 제1항에 있어서, 상기 알람이 피드포워드 요소에 있는지 피드백 요소에 있는지를 결정하는 단계와;
    상기 알람이 피드포워드 요소에 있을 때 제1 심각도 수준을 확립하는 단계와;
    상기 알람이 피드백 요소에 있을 때 제2 심각도 수준을 확립하는 단계와;
    상기 제1 심각도 수준, 또는 제2 심각도 수준, 또는 그 조합이 심각도 한계와 같거나 그보다 더 클 때 결함 조건- 결함 조건에는 결함 수준이 확립된다 -을 선언하는 단계와;
    제1 심각도 수준, 또는 상기 제2 심각도 수준, 또는 그 조합이 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  18. 제17항에 있어서, 결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  19. 제17항에 있어서, 알람 조건에 대한 데이터를 저장하는 단계와;
    GUI 화면에 알람 조건 정보를 디스플레이하는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  20. 반도체 처리 시스템을 운용하는 컴퓨터 구현 방법에 있어서,
    프로세스 모듈에 웨이퍼를 배치하는 단계와;
    웨이퍼에 대한 프로세스 콘텍스트 정보를 프로세서로 수신하는 단계와;
    상기 프로세스 콘텍스트 정보를 이용하여 상기 프로세서에서 제어 전략을 실행하는 단계와;
    상기 웨이퍼에 대한 프로세스 콘텍스트 정보를 이용하여 프로세서에서 분석 전략을 실행하는 단계와;
    적어도 하나의 실행된 전략에 의해 알람이 확립되었을 때 상기 프로세서에서 중재 계획을 실행하는 단계와;
    적어도 하나의 실행된 계획에 의해 알람 조건이 확립되지 않았을 때 상기 프로세스 모듈로부터 상기 웨이퍼를 제거하는 단계
    를 포함하는 컴퓨터 구현 방법.
  21. 제20항에 있어서, 상기 알람에 대한 심각도 수준을 확립하는 단계와;
    상기 알람에 대한 심각도 수준이 심각도 한계와 같거나 그보다 클 때 결함 조건- 결함 조건에는 결함 수준이 확립된다 -을 선언하는 단계와;
    상기 알람에 대한 심각도 수준이 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  22. 제21항에 있어서, 상기 결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 결함 수준이 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  23. 제21항에 있어서, 추가 알람을 수신하는 단계와;
    상기 추가 알람에 대한 심각도 수준을 확립하는 단계와;
    상기 알람에 대한 심각도 수준 및 상기 추가 알람에 대한 심각도 수준을 이용하여 총 심각도 수준을 확립하는 단계와;
    상기 총 심각도 수준이 심각도 한계와 같거나 그보다 더 클 때 결함 조건을 선언하는 단계와;
    상기 총 심각도 수준이 상기 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  24. 제23항에 있어서, 결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 결함 수준이 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  25. 제20항에 있어서, 런투런(R2R) 제어 모델을 이용하여 트림량을 계산- 계산된 트림량은 수직 트림값, 수평 트림값, 또는 이들의 조합을 포함한다 -하는 단계와;
    R2R 제어기를 이용하여 상기 계산된 트림량을 달성하도록 제1 집합의 프로세스 매개변수를 연산하는 단계와;
    상기 프로세스 모듈에서 제1 집합의 프로세스 매개변수를 이용하여 제1 프로세스 레시피를 실행하는 단계와;
    FDC 모델을 이용하여 예측 트림량을 계산하는 단계와;
    실제 트림량을 결정하는 단계와;
    상기 실제 트림량과 상기 계산된 트림량을 비교하는 단계와;
    상기 실제 트림량이 상기 계산된 트림량보다 실질적으로 더 클 때 R2R 알람을 생성하는 단계와;
    상기 실제 트림량과 상기 예측 트림량을 비교하는 단계와;
    상기 실제 트림량이 상기 예측 트림량보다 실질적으로 더 클 때 FDC 알람을 생성하는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  26. 제25항에 있어서, R2R 알람이 생성된 때 R2R 알람에 대한 심각도 수준을 확립하는 단계와;
    상기 FDC 알람이 생성된 때 FDC 알람에 대한 심각도 수준을 확립하는 단계와;
    상기 R2R 알람에 대한 심각도 수준 및 FDC 알람에 대한 심각도 수준을 이용하여 총 심각도 수준을 확립하는 단계와;
    상기 총 심각도 수준이 심각도 한계와 같거나 그보다 더 클 때 결함 조건을 선언하는 단계와;
    상기 총 심각도 수준이 상기 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  27. 제26항에 있어서, 결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 결함 수준이 결함 한계보다 더 작을 때 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계와 같거나 그보다 더 클 때 프로세스 모듈을 정지시키는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  28. 제25항에 있어서, 상기 실제 트림량이 상기 계산된 트림량보다 실질적으로 더 작을 때 상기 R2R 모델을 업데이트하는 단계와;
    상기 실제 트림량이 상기 예측 트림량보다 실질적으로 더 작을 때 상기 FDC 모델을 업데이트하는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  29. 제25항에 있어서, 광학 디지털 형상측정(ODP)을 포함하는 통합 계측 모듈(IMM)을 이용하여 수집된 웨이퍼 상의 복수의 테스트 구조물에 대한 측정된 임계 치수(CD) 데이터를 포함한 웨이퍼 상태 데이터를 얻는 단계와;
    상기 웨이퍼 상의 복수의 테스트 구조물에 대한 기준 데이터- 이 기준 데이터는 CDSEM을 이용하여 얻어지는 것임- 를 얻는 단계와;
    상기 측정된 CD 데이터를 상기 기준 데이터와 비교하는 단계와;
    상기 기준 데이터와 상기 측정된 CD 데이터 간의 차이가 임계값보다 더 클 때 알람을 선언하는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  30. 제29항에 있어서, 상기 측정된 CD 데이터는 상기 웨이퍼에서 복수의 격자 패턴을 측정함으로써 얻어지는 것인 컴퓨터 구현 방법.
  31. 제25항에 있어서, 상기 웨이퍼 상의 복수의 내포 구조물에 대해 측정 데이터- 이 측정 데이터는 ODP를 이용하여 얻어지는 것임- 를 얻는 단계와;
    상기 웨이퍼 상의 복수의 내포 구조물에 대해 기준 데이터- 이 기준 데이터는 CDSEM을 이용하여 얻어지는 것임- 를 얻는 단계와;
    상기 복수의 내포 구조물에 대한 상기 측정 데이터와 상기 복수의 내포 구조물에 대한 상기 기준 데이터 간의 차이를 이용하여 상기 계산 트림량을 결정하는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  32. 제25항에 있어서, 상기 웨이퍼 상의 복수의 격리 구조물에 대한 측정 데이터- 이 측정 데이터는 ODP를 이용하여 얻어지는 것임- 를 얻는 단계와;
    상기 웨이퍼 상의 복수의 격리 구조물에 대한 기준 데이터- 이 기준 데이터는 CDSEM을 이용하여 얻어지는 것임- 를 얻는 단계와;
    상기 복수의 격리 구조물에 대한 상기 측정 데이터와 상기 복수의 격리 구조물에 대한 상기 기준 데이터 간의 차이를 이용하여 상기 계산 트림량을 결정하는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  33. 제25항에 있어서, 전처리 계측 데이터를 얻는 단계와;
    상기 전처리 계측 데이터를 필터링하는 단계와;
    데이터 아웃라이어의 수가 임계값을 초과할 때 오류를 선언하는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  34. 제25항에 있어서, 후처리 계측 데이터를 얻는 단계와;
    상기 후처리 계측 데이터를 필터링하는 단계와;
    데이터 아웃라이어의 수가 임계값을 초과할 때 오류를 선언하는 단계
    를 더 포함하는 컴퓨터 구현 방법.
  35. 런투런(R2R) 제어기를 포함한 고급 프로세스 제어(APC) 시스템에서 결함 검출 및 분류(FDC)를 구현하는 컴퓨터 지향 시스템에 있어서,
    웨이퍼를 처리하도록 구성된 프로세싱 툴과;
    프로세서를 포함하고, 상기 프로세서는,
    실행된 프로세스 런으로부터 프로세스 데이터를 수신하고,
    메모리로부터 FDC 모델을 수신하고,
    FDC 모델을 이용하여 예측 의존 프로세스 매개변수의 벡터를 연산하고,
    레시피 매개변수의 집합을 포함하는 프로세스 레시피를 수신하고,
    상기 프로세스 레시피를 실행하여 측정 의존 프로세스 매개변수의 벡터를 생성하고,
    상기 예측 의존 프로세스 매개변수의 벡터와 상기 측정 의존 프로세스 매개변수의 벡터 간의 차이를 계산하고,
    상기 차이를 임계값과 비교하고,
    상기 차이가 상기 임계값보다 더 클 때 알람 조건을 선언하도록 구성된 것인 컴퓨터 지향 시스템.
  36. 제35항에 있어서, 상기 프로세서는 추가로,
    프로세스 매개변수 입력을 희망 처리 결과에 관련시키는 R2R 제어 모델을 자동화 실험 설계(DOE)법을 이용하여 생성하고;
    상기 예측 의존 프로세스 매개변수를 독립 프로세스 매개변수에 관련시키는 상기 FDC 모델을 자동화 DOE법을 이용하여 생성하도록 구성된 것인 컴퓨터 지향 시스템.
  37. 제35항에 있어서, 상기 프로세서는 추가로,
    상기 알람에 대한 심각도 수준을 확립하고;
    상기 알람에 대한 심각도 수준이 심각도 한계와 같거나 그보다 클 때 결함 조건- 결함 조건에는 결함 수준이 확립된다 -을 선언하고;
    상기 알람에 대한 심각도 수준이 심각도 한계보다 더 작을 때 다른 알람을 기다리도록 구성된 것인 컴퓨터 지향 시스템.
  38. 제37항에 있어서, 상기 프로세서는 추가로,
    결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하고;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키고;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키도록 구성된 것인 컴퓨터 지향 시스템.
  39. 제37항에 있어서, 상기 프로세서는 추가로,
    추가 알람을 수신하고;
    상기 추가 알람에 대한 심각도 수준을 확립하고;
    상기 알람에 대한 심각도 수준 및 상기 추가 알람에 대한 심각도 수준을 이용하여 총 심각도 수준을 확립하고;
    상기 총 심각도 수준이 상기 심각도 한계와 같거나 그보다 더 클 때 결함 조건을 선언하고;
    상기 총 심각도 수준이 상기 심각도 한계보다 더 작을 때 다른 알람을 기다리도록 구성된 것인 컴퓨터 지향 시스템.
  40. 제39항에 있어서, 상기 프로세서는 추가로,
    결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하고;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키고;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키도록 구성된 것인 컴퓨터 지향 시스템.
  41. 제35항에 있어서, 상기 프로세서는 추가로,
    상기 알람이 피드포워드 요소에 있는지 피드백 요소에 있는지를 결정하고;
    상기 알람이 피드포워드 요소에 있을 때 제1 심각도 수준을 확립하고;
    상기 알람이 피드백 요소에 있을 때 제2 심각도 수준을 확립하고;
    상기 제1 심각도 수준, 또는 상기 제2 심각도 수준, 또는 그 조합이 심각도 한계와 같거나 그보다 더 클 때 결함 조건- 결함 조건에는 결함 수준이 확립된다 -을 선언하고;
    상기 제1 심각도 수준, 또는 상기 제2 심각도 수준, 또는 그 조합이 상기 심각도 한계보다 더 작을 때 다른 알람을 기다리도록 구성된 것인 컴퓨터 지향 시스템.
  42. 제41항에 있어서, 상기 프로세서는 추가로,
    결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하고;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키고;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키도록 구성된 것인 컴퓨터 지향 시스템.
  43. 제41항에 있어서, 상기 프로세서는 추가로,
    상기 알람 조건에 대한 데이터를 저장하고;
    GUI 화면에 알람 조건 정보를 디스플레이하도록 구성된 것인 컴퓨터 지향 시스템.
  44. 실행시 범용 컴퓨터가 처리 방법을 이용하여 장치를 제어하게 하는 소프트웨어 루틴을 내포한 컴퓨터 기억 매체에 있어서,
    상기 처리 방법이,
    메모리로부터 FDC 모델을 수신하는 단계와;
    상기 FDC 모델을 프로세스 모델 계산 엔진에 제공하는 단계와;
    상기 프로세스 모델 계산 엔진을 이용하여 예측 의존 프로세스 매개변수의 벡터를 연산하는 단계와;
    레시피 매개변수의 집합을 포함하는 프로세스 레시피를 수신하는 단계와;
    상기 프로세스 레시피를 프로세스 모듈에 제공하는 단계와;
    상기 프로세스 레시피를 실행하여 측정 의존 프로세스 매개변수의 벡터를 생성하는 단계와;
    상기 예측 의존 프로세스 매개변수의 벡터와 상기 측정 의존 프로세스 매개변수의 벡터 간의 차이를 계산하는 단계와;
    상기 차이를 임계값과 비교하는 단계와;
    상기 차이가 임계값보다 더 클 때 알람 조건을 선언하는 단계
    를 포함하는 것인 컴퓨터 기억 매체.
  45. 제44항에 있어서, 상기 처리 방법이,
    프로세스 매개변수 입력을 희망 처리 결과에 관련시키는 R2R 제어 모델을 자동화 실험 설계(DOE)법을 이용하여 생성하는 단계와;
    상기 예측 의존 프로세스 매개변수를 독립 프로세스 매개변수에 관련시키는 FDC 모델을 자동화 DOE법을 이용하여 생성하는 단계
    를 더 포함하는 것인 컴퓨터 기억 매체.
  46. 제44항에 있어서, 상기 처리 방법이,
    상기 알람에 대한 심각도 수준을 확립하는 단계와;
    상기 알람에 대한 심각도 수준이 심각도 한계와 같거나 그보다 클 때 결함 조건- 결함 조건에는 결함 수준이 확립된다 -을 선언하는 단계와;
    상기 알람에 대한 심각도 수준이 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계를 더 포함하는 것인 컴퓨터 기억 매체.
  47. 제46항에 있어서, 상기 처리 방법이,
    결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키는 단계
    를 더 포함하는 것인 컴퓨터 기억 매체.
  48. 제46항에 있어서, 상기 처리 방법이,
    추가 알람을 수신하는 단계와;
    상기 추가 알람에 대한 심각도 수준을 확립하는 단계와;
    상기 알람에 대한 심각도 수준 및 상기 추가 알람에 대한 심각도 수준을 이용하여 총 심각도 수준을 확립하는 단계와;
    상기 총 심각도 수준이 심각도 한계와 같거나 그보다 더 클 때 결함 조건을 선언하는 단계와;
    상기 총 심각도 상기 수준이 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계
    를 더 포함하는 것인 컴퓨터 기억 매체.
  49. 제48항에 있어서, 상기 처리 방법이,
    결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키는 단계
    를 더 포함하는 것인 컴퓨터 기억 매체.
  50. 제44항에 있어서, 상기 처리 방법이,
    상기 알람이 피드포워드 요소에 있는지 피드백 요소에 있는지를 결정하는 단계와;
    상기 알람이 피드포워드 요소에 있을 때 제1 심각도 수준을 확립하는 단계와;
    상기 알람이 피드백 요소에 있을 때 제2 심각도 수준을 확립하는 단계와;
    상기 제1 심각도 수준, 또는 상기 제2 심각도 수준, 또는 그 조합이 심각도 한계와 같거나 그보다 더 클 때 결함 조건- 결함 조건에는 결함 수준이 확립된다 -을 선언하는 단계와;
    상기 제1 심각도 수준, 또는 상기 제2 심각도 수준, 또는 그 조합이 심각도 한계보다 더 작을 때 다른 알람을 기다리는 단계를 더 포함하는 것인 컴퓨터 기억 매체.
  51. 제50항에 있어서, 상기 처리 방법이,
    상기 결함 조건이 선언된 때 상기 프로세스 모듈에 중재 메시지를 전송하는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계보다 더 작을 때 상기 프로세스 모듈을 일시중지시키는 단계와;
    상기 중재 메시지가 수신되고 상기 결함 수준이 상기 결함 한계와 같거나 그보다 더 클 때 상기 프로세스 모듈을 정지시키는 단계
    를 더 포함하는 것인 컴퓨터 기억 매체.
  52. 제50항에 있어서, 상기 처리 방법이,
    상기 알람 조건의 데이터를 저장하는 단계와;
    GUI 화면에 알람 조건 정보를 디스플레이하는 단계
    를 더 포함하는 것인 컴퓨터 기억 매체.
KR1020077020516A 2005-02-16 2005-12-15 런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템 KR101108856B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/058,321 US7477960B2 (en) 2005-02-16 2005-02-16 Fault detection and classification (FDC) using a run-to-run controller
US11/058,321 2005-02-16
PCT/US2005/045546 WO2006088545A2 (en) 2005-02-16 2005-12-15 Fault detection and classification (fdc) using a run-to-run controller

Publications (2)

Publication Number Publication Date
KR20070117579A true KR20070117579A (ko) 2007-12-12
KR101108856B1 KR101108856B1 (ko) 2012-02-09

Family

ID=36816691

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077020516A KR101108856B1 (ko) 2005-02-16 2005-12-15 런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템

Country Status (5)

Country Link
US (1) US7477960B2 (ko)
JP (1) JP5020101B2 (ko)
KR (1) KR101108856B1 (ko)
CN (1) CN101258499B (ko)
WO (1) WO2006088545A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101274527B1 (ko) * 2011-11-15 2013-06-13 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리 장치 및 플라즈마 처리 방법
KR101335896B1 (ko) * 2010-08-02 2014-02-26 내셔날 쳉쿵 유니버시티 의존 인덱스를 이용하는 가상 계측을 활용한 고급 공정 제어 시스템

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8172546B2 (en) 1998-11-23 2012-05-08 Entegris, Inc. System and method for correcting for pressure variations using a motor
US7389492B2 (en) * 2001-03-12 2008-06-17 International Business Machines Corporation Framework for code generators
US7799273B2 (en) * 2004-05-06 2010-09-21 Smp Logic Systems Llc Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes
US7444197B2 (en) 2004-05-06 2008-10-28 Smp Logic Systems Llc Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes
KR100639676B1 (ko) * 2004-09-21 2006-10-30 삼성전자주식회사 반도체 제조용 포토리소그라피 설비 제어시스템 및 그제어방법
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
JP5079516B2 (ja) 2004-11-23 2012-11-21 インテグリス・インコーポレーテッド 可変定位置ディスペンスシステムのためのシステムおよび方法
US7676295B2 (en) * 2005-02-18 2010-03-09 Lam Research Corporation Processing information management in a plasma processing tool
DE102005063335B4 (de) 2005-03-31 2012-04-12 Globalfoundries Inc. Modell für eine fortschrittliche Prozesssteuerung, das eine Solloffsetgröße umfaßt
TWI298431B (en) * 2005-06-02 2008-07-01 Powerchip Semiconductor Corp A method for adjusting tool setting, a manufacture control system, and a semiconductor manufacturing system
US7289867B1 (en) * 2005-06-08 2007-10-30 Advanced Micro Devices, Inc. Automated integrated circuit device manufacturing facility using distributed control
US7596421B2 (en) * 2005-06-21 2009-09-29 Kabushik Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
DE102005030586A1 (de) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
US7277824B1 (en) * 2005-07-13 2007-10-02 Advanced Micro Devices, Inc. Method and apparatus for classifying faults based on wafer state data and sensor tool trace data
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US8753097B2 (en) 2005-11-21 2014-06-17 Entegris, Inc. Method and system for high viscosity pump
WO2007061956A2 (en) 2005-11-21 2007-05-31 Entegris, Inc. System and method for a pump with reduced form factor
US7878765B2 (en) 2005-12-02 2011-02-01 Entegris, Inc. System and method for monitoring operation of a pump
CN102705209B (zh) 2005-12-02 2015-09-30 恩特格里公司 用于泵中压力补偿的系统和方法
US8083498B2 (en) 2005-12-02 2011-12-27 Entegris, Inc. System and method for position control of a mechanical piston in a pump
US7206721B1 (en) * 2005-12-12 2007-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and systems of offline measurement for process tool monitoring
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
US7584083B1 (en) * 2005-12-30 2009-09-01 At&T Corp. Modeling and simulation of workcenter processes
KR100989149B1 (ko) * 2006-01-27 2010-10-20 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
TWI402423B (zh) 2006-02-28 2013-07-21 Entegris Inc 用於一幫浦操作之系統及方法
JP4874678B2 (ja) * 2006-03-07 2012-02-15 株式会社東芝 半導体製造装置の制御方法、および半導体製造装置の制御システム
US8070972B2 (en) * 2006-03-30 2011-12-06 Tokyo Electron Limited Etching method and etching apparatus
JP5177958B2 (ja) * 2006-03-31 2013-04-10 Hoya株式会社 処理データ管理システム、磁気ディスク製造装置用の処理システム、および、磁気ディスク製造装置のデータ管理方法
JP4746471B2 (ja) * 2006-04-21 2011-08-10 シスメックス株式会社 精度管理システム、精度管理サーバ及びコンピュータプログラム
US7596718B2 (en) * 2006-05-07 2009-09-29 Applied Materials, Inc. Ranged fault signatures for fault diagnosis
US7587296B2 (en) * 2006-05-07 2009-09-08 Applied Materials, Inc. Adaptive multivariate fault detection
JP4697879B2 (ja) * 2006-05-09 2011-06-08 東京エレクトロン株式会社 サーバ装置、およびプログラム
TWI315054B (en) * 2006-05-10 2009-09-21 Nat Cheng Kung Universit Method for evaluating reliance level of a virtual metrology system in product manufacturing
US7398172B2 (en) * 2006-05-31 2008-07-08 International Business Machines Corporation Method and system of providing a dynamic sampling plan for integrated metrology
US20080010531A1 (en) * 2006-06-12 2008-01-10 Mks Instruments, Inc. Classifying faults associated with a manufacturing process
US7313450B1 (en) * 2006-06-14 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic methods and systems for manufacturing recipe feedback control
US8055370B1 (en) * 2006-06-23 2011-11-08 Novellus Systems, Inc. Apparatus and methods for monitoring health of semiconductor process systems
US7352439B2 (en) * 2006-08-02 2008-04-01 Asml Netherlands B.V. Lithography system, control system and device manufacturing method
US7542820B2 (en) * 2006-09-28 2009-06-02 Lam Research Corporation Methods and arrangement for creating recipes using best-known methods
JP5224744B2 (ja) * 2006-10-04 2013-07-03 株式会社日立国際電気 基板処理装置
US7738986B2 (en) * 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US20080147228A1 (en) * 2006-12-19 2008-06-19 Fenner Joel S Automated dynamic recipe generation and selection for semiconductor manufacturing
JP2008250594A (ja) * 2007-03-30 2008-10-16 Hitachi Ltd 装置診断方法および装置診断用モジュールならびに装置診断用モジュールを実装した装置
DE102007016922A1 (de) * 2007-04-05 2008-10-09 Vistec Semiconductor Systems Gmbh Verfahren zur Detektion von Defekten auf der Rückseite eines Halbleiterwafers
US7966526B2 (en) * 2007-04-10 2011-06-21 Galileo Tools Gmbh Software event recording and analysis system and method of use thereof
WO2008137544A1 (en) * 2007-05-02 2008-11-13 Mks Instruments, Inc. Automated model building and model updating
US8145337B2 (en) * 2007-05-04 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology to enable wafer result prediction of semiconductor wafer batch processing equipment
US7765020B2 (en) * 2007-05-04 2010-07-27 Applied Materials, Inc. Graphical user interface for presenting multivariate fault contributions
US8010321B2 (en) * 2007-05-04 2011-08-30 Applied Materials, Inc. Metrics independent and recipe independent fault classes
US7974728B2 (en) * 2007-05-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. System for extraction of key process parameters from fault detection classification to enable wafer prediction
GB0709420D0 (en) * 2007-05-17 2007-06-27 Rolls Royce Plc Machining process monitor
TWI338916B (en) * 2007-06-08 2011-03-11 Univ Nat Cheng Kung Dual-phase virtual metrology method
US7805639B2 (en) 2007-08-16 2010-09-28 International Business Machines Corporation Tool to report the status and drill-down of an application in an automated manufacturing environment
US7835814B2 (en) * 2007-08-16 2010-11-16 International Business Machines Corporation Tool for reporting the status and drill-down of a control application in an automated manufacturing environment
US7793162B2 (en) 2007-08-16 2010-09-07 International Business Machines Corporation Method for reporting the status and drill-down of a control application in an automated manufacturing environment
US8572155B2 (en) * 2007-08-23 2013-10-29 Applied Materials, Inc. Virtual sensors
US7710137B2 (en) * 2007-09-04 2010-05-04 Globalfoundries Inc. Method and apparatus for relative testing of integrated circuit devices
US8825189B2 (en) * 2007-11-13 2014-09-02 Fisher Rosemount Systems, Inc. Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system
US8150541B2 (en) 2007-11-13 2012-04-03 Fisher-Rosemount Systems, Inc. Methods and apparatus to modify a recipe process flow associated with a process control system during recipe execution
US8555206B2 (en) * 2007-12-21 2013-10-08 Fisher-Rosemount Systems, Inc. Methods and apparatus to present recipe progress status information
WO2009100162A2 (en) * 2008-02-05 2009-08-13 Applied Materials, Inc. Systems and methods for treating flammable effluent gases from manufacturing processes
KR101555469B1 (ko) * 2008-02-05 2015-09-24 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템을 동작하기 위한 방법 및 장치
US20090282296A1 (en) * 2008-05-08 2009-11-12 Applied Materials, Inc. Multivariate fault detection improvement for electronic device manufacturing
TW200951752A (en) * 2008-06-06 2009-12-16 Inotera Memories Inc A method for prognostic maintenance in semiconductor manufacturing
TWI368962B (en) * 2008-07-04 2012-07-21 Inotera Memories Inc Method of fault detection and classification for wafer acceptance test
TWI399660B (zh) * 2008-07-09 2013-06-21 Inotera Memories Inc 偵測半導體製程變異之方法
US8649990B2 (en) * 2008-07-09 2014-02-11 Inotera Memories, Inc. Method for detecting variance in semiconductor processes
JP2010034180A (ja) * 2008-07-28 2010-02-12 Toshiba Corp 半導体製造装置の制御方法および半導体装置の製造方法
US8494798B2 (en) * 2008-09-02 2013-07-23 Mks Instruments, Inc. Automated model building and batch model building for a manufacturing process, process monitoring, and fault detection
US8527080B2 (en) 2008-10-02 2013-09-03 Applied Materials, Inc. Method and system for managing process jobs in a semiconductor fabrication facility
US9069345B2 (en) * 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
JP5200970B2 (ja) * 2009-02-04 2013-06-05 富士ゼロックス株式会社 品質管理システムおよび品質管理装置および品質管理プログラム
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US10024321B2 (en) * 2009-05-18 2018-07-17 Emerson Climate Technologies, Inc. Diagnostic system
JP5500871B2 (ja) * 2009-05-29 2014-05-21 株式会社日立ハイテクノロジーズ テンプレートマッチング用テンプレート作成方法、及びテンプレート作成装置
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
US9323234B2 (en) 2009-06-10 2016-04-26 Fisher-Rosemount Systems, Inc. Predicted fault analysis
US8571696B2 (en) * 2009-06-10 2013-10-29 Fisher-Rosemount Systems, Inc. Methods and apparatus to predict process quality in a process control system
US10768611B2 (en) * 2009-06-16 2020-09-08 Applied Materials, Inc. Counter and timer constraints
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
SG10201403275UA (en) * 2009-06-30 2014-09-26 Lam Res Corp Automatic fault detection and classification in a plasma processing system and methods thereof
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8655472B2 (en) * 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
US9002492B2 (en) 2010-02-16 2015-04-07 Applied Materials, Inc. Methods and apparatuses for utilizing adaptive predictive algorithms and determining when to use the adaptive predictive algorithms for virtual metrology
GB2477997B (en) * 2010-02-23 2015-01-14 Artemis Intelligent Power Ltd Fluid working machine and method for operating fluid working machine
WO2011104760A1 (ja) * 2010-02-26 2011-09-01 株式会社 日立製作所 故障原因診断システムおよびその方法
TWI412906B (zh) * 2010-04-13 2013-10-21 Univ Nat Cheng Kung 具有虛擬量測功能的製造執行系統與製造系統
CN102254788B (zh) * 2010-05-19 2014-08-20 国立成功大学 具有虚拟测量功能的制造执行系统与制造系统
US8717181B2 (en) 2010-07-29 2014-05-06 Hill-Rom Services, Inc. Bed exit alert silence with automatic re-enable
US8527081B2 (en) * 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US8855804B2 (en) 2010-11-16 2014-10-07 Mks Instruments, Inc. Controlling a discrete-type manufacturing process with a multivariate model
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
TWI447828B (zh) * 2011-06-22 2014-08-01 Inotera Memories Inc 製程原始資料的壓縮方法及壓縮系統
US8769345B2 (en) * 2011-07-29 2014-07-01 General Electric Company Computing device and methods of presenting data to identify faults within power systems
US9110452B2 (en) * 2011-09-19 2015-08-18 Fisher-Rosemount Systems, Inc. Inferential process modeling, quality prediction and fault detection using multi-stage data segregation
AU2011378996B2 (en) * 2011-10-11 2014-11-13 Sandvik Mining And Construction Oy A method, system and a device for controlling a work machine
US9915940B2 (en) * 2011-10-31 2018-03-13 Applied Materials, Llc Bi-directional association and graphical acquisition of time-based equipment sensor data and material-based metrology statistical process control data
US9594367B2 (en) * 2011-10-31 2017-03-14 Rockwell Automation Technologies, Inc. Systems and methods for process control including process-initiated workflow
US9141914B2 (en) * 2011-10-31 2015-09-22 Hewlett-Packard Development Company, L.P. System and method for ranking anomalies
CN102592399B (zh) * 2012-03-09 2013-11-06 中铁大桥局集团武汉桥梁科学研究院有限公司 基于gsm短信和电子邮件的桥梁结构健康监测预警方法
US9541471B2 (en) 2012-04-06 2017-01-10 Mks Instruments, Inc. Multivariate prediction of a batch manufacturing process
US9429939B2 (en) 2012-04-06 2016-08-30 Mks Instruments, Inc. Multivariate monitoring of a batch manufacturing process
US9378197B1 (en) * 2012-08-16 2016-06-28 Gmg Holdings, Llc Statistical analysis method for automatically selecting a statistical analysis algorithm based on data values and input parameters
US20140278165A1 (en) * 2013-03-14 2014-09-18 Johnson Controls Technology Company Systems and methods for analyzing energy consumption model data
US20150012255A1 (en) * 2013-07-03 2015-01-08 International Business Machines Corporation Clustering based continuous performance prediction and monitoring for semiconductor manufacturing processes using nonparametric bayesian models
KR102026069B1 (ko) 2013-08-05 2019-09-30 삼성전자 주식회사 반도체 설비의 센서 데이터 분할 시스템 및 그 방법
US9910430B2 (en) * 2013-08-23 2018-03-06 Applied Materials, Inc. K-nearest neighbor-based method and system to provide multi-variate analysis on tool process data
US9946165B2 (en) 2013-10-02 2018-04-17 Asml Netherlands B.V. Methods and apparatus for obtaining diagnostic information relating to an industrial process
US20150120248A1 (en) * 2013-10-30 2015-04-30 General Electric Company System and method for diagnosing machine faults
EP2871548B1 (en) * 2013-11-06 2017-07-19 Siemens Aktiengesellschaft Method of producing a pharmaceutical product using a MES
CN104901982B (zh) * 2014-03-05 2018-07-10 中芯国际集成电路制造(天津)有限公司 一种在线数据监控系统及监控方法
WO2015131916A1 (en) * 2014-03-06 2015-09-11 Abb Technology Ag Optimized method for sorting alarms
TWI641934B (zh) * 2014-08-05 2018-11-21 聯華電子股份有限公司 虛擬量測系統與方法
US10557719B2 (en) 2014-09-10 2020-02-11 Siemens Energy, Inc. Gas turbine sensor failure detection utilizing a sparse coding methodology
KR102195070B1 (ko) * 2014-10-10 2020-12-24 삼성에스디에스 주식회사 시계열 데이터의 분석을 통한 이상 감지 및 예측 시스템 및 방법
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
US20160342147A1 (en) * 2015-05-19 2016-11-24 Applied Materials, Inc. Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
CN105041702B (zh) * 2015-08-14 2017-03-01 北京中科科仪股份有限公司 一种磁悬浮分子泵控制方法及系统
CN105137324B (zh) * 2015-08-18 2017-12-05 电子科技大学 一种基于仿真分类模型的多探测点故障元器件定位方法
US9785919B2 (en) * 2015-12-10 2017-10-10 General Electric Company Automatic classification of aircraft component distress
US10401823B2 (en) 2016-02-04 2019-09-03 Makino Inc. Real time machining process monitoring utilizing preprocess simulation
US10037238B2 (en) * 2016-02-10 2018-07-31 Dell Products, L.P. System and method for encoding exception conditions included at a remediation database
CN105742144A (zh) * 2016-02-26 2016-07-06 镇江乐华电子科技有限公司 一种监控透射电子显微镜的预警系统
US11054815B2 (en) * 2016-03-11 2021-07-06 Applied Materials, Inc. Apparatus for cost-effective conversion of unsupervised fault detection (FD) system to supervised FD system
CN107240564B (zh) 2016-03-29 2021-01-05 株式会社国际电气 处理装置、装置管理控制器、以及装置管理方法
JP6645993B2 (ja) 2016-03-29 2020-02-14 株式会社Kokusai Electric 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法
CN107305365B (zh) * 2016-04-21 2020-07-17 北京北方华创微电子装备有限公司 一种基于rga的工艺过程控制方法及工艺过程控制系统
CN106197522B (zh) * 2016-06-29 2018-11-20 成都金本华电子有限公司 一种基于双数据通路的装备故障诊断方法
JP7009438B2 (ja) * 2016-07-07 2022-01-25 アスペン テクノロジー インコーポレイテッド 時系列パターンモデルを用いて主要パフォーマンス指標(kpi)を監視するコンピュータシステム及び方法
US10495334B2 (en) 2016-09-28 2019-12-03 Johnson Controls Techology Company Systems and methods for steady state detection
US20180087790A1 (en) * 2016-09-28 2018-03-29 Johnson Controls Technology Company Systems and methods for automatically creating and using adaptive pca models to control building equipment
CN107943809B (zh) * 2016-10-13 2022-02-01 阿里巴巴集团控股有限公司 数据质量监控方法、装置及大数据计算平台
KR20180076592A (ko) 2016-12-28 2018-07-06 삼성전자주식회사 반도체 장치의 계측 방법
US10606253B2 (en) * 2017-02-08 2020-03-31 United Microelectronics Corp. Method of monitoring processing system for processing substrate
US10768612B2 (en) * 2017-06-02 2020-09-08 Applied Materials, Inc. Method for back end planning and scheduling
JP6795093B2 (ja) * 2017-06-02 2020-12-02 富士通株式会社 判定装置、判定方法及び判定プログラム
CN107341520B (zh) * 2017-07-10 2019-10-01 美的集团股份有限公司 冰箱故障的判断方法、服务器和计算机可读存储介质
KR102519802B1 (ko) * 2017-09-04 2023-04-10 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 처리 장치의 이상 감시 방법, 및 기록 매체에 저장된 프로그램
US20200319626A1 (en) * 2017-10-10 2020-10-08 Siemens Aktiengesellschaft Method and apparatus for monitoring the state of a device in a process industry and medium
US11610273B2 (en) 2018-04-26 2023-03-21 InfinityQS International, Inc. Enterprise-wide process stream analysis and grading engine with interactive user interface method, system, and computer program product
KR20210020158A (ko) * 2018-07-12 2021-02-23 어플라이드 머티어리얼스, 인코포레이티드 제조 환경들에 대한 블록-기반 예측
KR102053931B1 (ko) * 2019-06-07 2019-12-11 주식회사 에스에스티 친환경 반도체 제조 가스처리 전력저감 시스템
CN110908990A (zh) * 2019-11-25 2020-03-24 上海华力集成电路制造有限公司 Fdc数据清洗方法
JP7365923B2 (ja) * 2020-02-12 2023-10-20 東京エレクトロン株式会社 温度制御装置、温度制御方法、および検査装置
US20220019203A1 (en) * 2020-07-16 2022-01-20 Changxin Memory Technologies, Inc. Method and device for processing semiconductor manufacturing information
EP4162396A4 (en) * 2020-07-23 2024-03-20 Pdf Solutions Inc AUTOMATIC WINDOW GENERATION FOR PROCESSING TRACE
CN112486113B (zh) * 2020-11-20 2021-09-24 长江存储科技有限责任公司 一种机台程式控制方法及装置
CN112580997B (zh) * 2020-12-24 2021-07-27 上海赛美特软件科技有限公司 数据收集方法及系统、spc系统及计算机存储介质
CN114764550A (zh) * 2021-01-12 2022-07-19 联华电子股份有限公司 失效检测与分类模型的运作方法与运作装置
CN113003041B (zh) * 2021-03-05 2022-05-03 深圳市友和环境科技有限公司 一种智能的垃圾处理方法
US20230195071A1 (en) * 2021-12-17 2023-06-22 Applied Materials, Inc. Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
CN116774109B (zh) * 2023-06-26 2024-01-30 国网黑龙江省电力有限公司佳木斯供电公司 基于声纹检测信息的变压器故障识别系统

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW402769B (en) * 1998-06-13 2000-08-21 Samsung Electronics Co Ltd Apparatus and method for contact failure inspection in semiconductor devices
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6556884B1 (en) * 2000-06-16 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for interfacing a statistical process control system with a manufacturing process control framework
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
AU2001288856A1 (en) * 2000-09-15 2002-03-26 Advanced Micro Devices Inc. Adaptive sampling method for improved control in semiconductor manufacturing
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6725098B2 (en) * 2001-10-23 2004-04-20 Brooks Automation, Inc. Semiconductor run-to-run control system with missing and out-of-order measurement handling
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US7065422B1 (en) * 2002-06-28 2006-06-20 Advanced Micro Devices, Inc. Method and apparatus for system state classification

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101335896B1 (ko) * 2010-08-02 2014-02-26 내셔날 쳉쿵 유니버시티 의존 인덱스를 이용하는 가상 계측을 활용한 고급 공정 제어 시스템
KR101274527B1 (ko) * 2011-11-15 2013-06-13 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리 장치 및 플라즈마 처리 방법
US8828184B2 (en) 2011-11-15 2014-09-09 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9824866B2 (en) 2011-11-15 2017-11-21 Hitachi High-Technologies Corporation Plasma processing method

Also Published As

Publication number Publication date
US7477960B2 (en) 2009-01-13
JP2008530817A (ja) 2008-08-07
JP5020101B2 (ja) 2012-09-05
CN101258499A (zh) 2008-09-03
US20060184264A1 (en) 2006-08-17
CN101258499B (zh) 2011-09-28
WO2006088545A3 (en) 2008-02-21
WO2006088545A2 (en) 2006-08-24
KR101108856B1 (ko) 2012-02-09

Similar Documents

Publication Publication Date Title
KR101108856B1 (ko) 런투런 제어기를 이용한 결함 검출 및 분류 방법과 시스템
JP4694843B2 (ja) 半導体製作プロセスの監視とコンロトールのための装置
KR101158950B1 (ko) 반도체 처리 시스템 작동 방법 및 반도체 처리 도구 작동 방법
KR101154658B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
KR101032931B1 (ko) 에칭 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법
KR101200657B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
US7636608B2 (en) Method for dynamic sensor configuration and runtime execution
US7113838B2 (en) Method and apparatus for monitoring tool performance
JP5028473B2 (ja) ウェハ均一性制御を用いた動的サンプリング測定法
US6836691B1 (en) Method and apparatus for filtering metrology data based on collection purpose
US20050159911A1 (en) Method and apparatus for automatic sensor installation
JP2009531866A5 (ko)
US20060079983A1 (en) R2R controller to automate the data collection during a DOE
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
US6697696B1 (en) Fault detection control system using dual bus architecture, and methods of using same
KR100970684B1 (ko) 동적 센서 구성 및 런타임 실행 방법
Vijayaraghavan Fault detection and classification in etch tools

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180104

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200106

Year of fee payment: 9